FreshRSS

Zobrazení pro čtení

Jsou dostupné nové články, klikněte pro obnovení stránky.

Chip Industry Week In Review

BAE Systems and GlobalFoundries are teaming up to strengthen the supply of chips for national security programs, aligning technology roadmaps and collaborating on innovation and manufacturing. Focus areas include advanced packaging, GaN-on-silicon chips, silicon photonics, and advanced technology process development.

Onsemi plans to build a $2 billion silicon carbide production plant in the Czech Republic. The site would produce smart power semiconductors for electric vehicles, renewable energy technology, and data centers.

The global chip manufacturing industry is projected to boost capacity by 6% in 2024 and 7% in 2025, reaching 33.7 million 8-inch (200mm) wafers per month, according to SEMIs latest World Fab Forecast report. Leading-edge capacity for 5nm nodes and below is expected to grow by 13% in 2024, driven by AI demand for data center applications. Additionally, Intel, Samsung, and TSMC will begin producing 2nm chips using gate-all-around (GAA) FETs next year, boosting leading-edge capacity by 17% in 2025.

At the IEEE Symposium on VLSI Technology & Circuits, imec introduced:

  • Functional CMOS-based CFETs with stacked bottom and top source/drain contacts.
  • CMOS-based 56Gb/s zero-IF D-band beamforming transmitters to support next-gen short-range, high-speed wireless services at frequencies above 100GHz.
  • ADCs for base stations and handsets, a key step toward scalable, high-performance beyond-5G solutions, such as cloud-based AI and extended reality apps.

Quick links to more news:

Global
In-Depth
Market Reports
Education and Training
Security
Product News
Research
Events and Further Reading


Global

Wolfspeed postponed plans to construct a $3 billion chip plant in Germany, underscoring the EU‘s challenges in boosting semiconductor production, reports Reuters. The North Carolina-based company cited reduced capital spending due to a weakened EV market, saying it now aims to start construction in mid-2025, two years later than 0riginally planned.

Micron is building a pilot production line for high-bandwidth memory (HBM) in the U.S., and considering HBM production in Malaysia to meet growing AI demand, according to a Nikkei report. The company is expanding HBM R&D facilities in Boise, Idaho, and eyeing production capacity in Malaysia, while also enhancing its largest HBM facility in Taichung, Taiwan.

Kioxia restored its Yokkaichi and Kitakami plants in Japan to full capacity, ending production cuts as the memory market recovers, according to Nikkei. The company, which is focusing on NAND flash production, has secured new bank credit support, including refinancing a ¥540 billion loan and establishing a ¥210 billion credit line. Kioxia had reduced output by more than 30% in October 2022 due to weak smartphone demand.

Europe’s NATO Innovation Fund announced its first direct investments, which includes semiconductor materials. Twenty-three NATO allies co-invested in this over $1B fund devoted to address critical defense and security challenges.

The second meeting of the U.S.India Initiative on Critical and Emerging Technology (iCET) was held in New Delhi, with various funding and initiatives announced to support semiconductor technology, next-gen telecommunications, connected and autonomous vehicles, ML, and more.

Amazon announced investments of €10 billion in Germany to drive innovation and support the expansion of its logistics network and cloud infrastructure.

Quantum Machines opened the Israeli Quantum Computing Center (IQCC) research facility, backed by the Israel Innovation Authority and located at Tel Aviv University. Also, Israel-based Classiq is collaborating with NVIDIA and BMW, using quantum computing to find the optimal automotive architecture of electrical and mechanical systems.

Global data center vacancy rates are at historic lows, and power availability is becoming less available, according to a Siemens report featured on Broadband Breakfast. The company called for an influx of financing to find new ways to optimize data center technology and sustainability.


In-Depth

Semiconductor Engineering published its Manufacturing, Packaging & Materials newsletter this week, featuring these top stories:

More reporting this week:


Market Reports

Renesas completed its acquisition of Transphorm and will immediately start offering GaN-based power products and reference designs to meet the demand for wide-bandgap (WBG) chips.

Revenues for the top five wafer fab equipment (WFE) companies fell 9% YoY in Q1 2024, according to Counterpoint. This was offset partially by increased demand for NAND and DRAM, which increased 33% YoY, and strong growth in sales to China, which were up 116% YoY.

The SiC power devices industry saw robust growth in 2023, primarily driven by the BEV market, according to TrendForce. The top five suppliers, led by ST with a 32.6% market share and onsemi in second place, accounted for 91.9% of total revenue. However, the anticipated slowdown in BEV sales and weakening industrial demand are expected to significantly decelerate revenue growth in 2024. 

About 30% of vehicles produced globally will have E/E architectures with zonal controllers by 2032, according to McKinsey & Co. The market for automotive micro-components and logic semiconductors is predicted to reach $60 billion in 2032, and the overall automotive semiconductor market is expected to grow from $60 billion to $140 billion in the same period, at a 10% CAGR.

The automotive processor market generated US$20 billion in revenue in 2023, according to Yole. US$7.8 billion was from APUs and FPGAs and $12.2 billion was from MCUs. The ADAS and infotainment processors market was worth US$7.8 billion in 2023 and is predicted to grow to $16.4 billion by 2029 at a 13% CAGR. The market for ADAS sensing is expected to grow at a 7% CAGR.


Security

The CHERI Alliance was established to drive adoption of memory safety and scalable software compartmentalization via the security technology CHERI, or Capability Hardware Enhanced RISC Instructions. Founding members include Capabilities Limited, Codasip, the FreeBSD Foundation, lowRISC, SCI Semiconductor, and the University of Cambridge.

In security research:

  • Japan and China researchers explored a NAND-XOR ring oscillator structure to design an entropy source architecture for a true random number generator (TRNG).
  • University of Toronto and Carleton University researchers presented a survey examining how hardware is applied to achieve security and how reported attacks have exploited certain defects in hardware.
  • University of North Texas and Texas Woman’s University researchers explored the potential of hardware security primitive Physical Unclonable Functions (PUF) for mitigation of visual deepfakes.
  • Villanova University researchers proposed the Boolean DERIVativE attack, which generalizes Boolean domain leakage.

Post-quantum cryptography firm PQShield raised $37 million in Series B funding.

Former OpenAI executive, Ilya Sutskever, who quit over safety concerns, launched Safe Superintelligence Inc. (SSI).

EU industry groups warned the European Commission that its proposed cybersecurity certification scheme (EUCS) for cloud services should not discriminate against Amazon, Google, and Microsoft, reported Reuters.

Cyber Europe tested EU cyber preparedness in the energy sector by simulating a series of large-scale cyber incidents in an exercise organized by the European Union Agency for Cybersecurity (ENISA).

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Education and Training

New York non-profit NY CREATES and South Korea’s National Nano Fab Center partnered to develop a hub for joint research, aligned technology services, testbed support, and an engineer exchange program to bolster chips-centered R&D, workforce development, and each nation’s high-tech ecosystem.

New York and the Netherlands agreed on a partnership to promote sustainability within the semiconductor industry, enhance workforce development, and boost semiconductor R&D.

Rapidus is set to send 200 engineers to AI chip developer Tenstorrent in the U.S. for training over the next five years, reports Nikkei. This initiative, led by Japan’s Leading-edge Semiconductor Technology Center (LSTC), aims to bolster Japan’s AI chip industry.


Product News

UMC announced its 22nm embedded high voltage (eHV) technology platform for premium smartphone and mobile device displays. The 22eHV platform reduces core device power consumption by up to 30% compared to previous 28nm processes. Die area is reduced by 10% with the industry’s smallest SRAM bit cells.​

Alphawave Semi announced a new 9.2 Gbps HBM3E sub-system silicon platform capable of 1.2 terabytes per second. Based on the HBM3E IP, the sub-system is aimed at addressing the demand for ultra-high-speed connectivity in high-performance compute applications.

Movellus introduced the Aeonic Power product family for on-die voltage regulation, targeting the challenging area of power delivery.

Cadence partnered with Semiwise and sureCore to develop new cryogenic CMOS circuits with possible quantum computing applications. The circuits are based on modified transistors found in the Cadence Spectre Simulation Platform and are capable of processing analog, mixed-signal, and digital circuit simulation and verification at cryogenic temperatures.

Renesas launched R-Car Open Access (RoX), an integrated development platform for software-defined vehicles (SDVs), designed for Renesas R-Car SoCs and MCUs with tools for deployment of AI applications, reducing complexity and saving time and money for car OEMs and Tier 1s.

Infineon released industry-first radiation-hardened 1 and 2 Mb parallel interface ferroelectric-RAM (F-RAM) nonvolatile memory devices, with up to 120 years of data retention at 85-degree Celsius, along with random access and full memory write at bus speeds. Plus, a CoolGaN Transistor 700 V G4 product family for efficient power conversion up to 700 V, ideal for consumer chargers and notebook adapters, data center power supplies, renewable energy inverters, and more.

Ansys adopted NVIDIA’s Omniverse application programming interfaces for its multi-die chip designers. Those APIs will be used for 5G/6G, IoT, AI/ML, cloud computing, and autonomous vehicle applications. The company also announced ConceptEV, an SaaS solution for automotive concept design for EVs.

Fig. 1: Field visualization of 3D-IC with Omniverse. Source: Ansys

QP Technologies announced a new dicing saw for its manufacturing line that can process a full cassette of 300mm wafers 7% faster than existing tools, improving throughput and productivity.

NXP introduced its SAF9xxx of audio DSPs to support the demand for AI-based audio in software-defined vehicles (SDVs) by using Cadence’s Tensilica HiFi 5 DSPs combined with dedicated neural-network engines and hardware-based accelerators.

Avionyx, a provider of software lifecycle engineering in the aerospace and safety-critical systems sector, partnered with Siemens and will leverage its Polarion application lifecycle management (ALM) tool. Also, Dovetail Electric Aviation adopted Siemens Xcelerator to support sustainable aviation.


Research

Researchers from imec and KU Leuven released a +70 page paper “Selecting Alternative Metals for Advanced Interconnects,” addressing interconnect resistance and reliability.

A comprehensive review article — “Future of plasma etching for microelectronics: Challenges and opportunities” — was created by a team of experts from the University of Maryland, Lam Research, IBM, Intel, and many others.

Researchers from the Institut Polytechnique de Paris’s Laboratory of Condensed Matter for Physics developed an approach to investigate defects in semiconductors. The team “determined the spin-dependent electronic structure linked to defects in the arrangement of semiconductor atoms,” the first time this structure has been measured, according to a release.

Lawrence Berkeley National Laboratory-led researchers developed a small enclosed chamber that can hold all the components of an electrochemical reaction, which can be paired with transmission electron microscopy (TEM) to generate precise views of a reaction at atomic scale, and can be frozen to stop the reaction at specific time points. They used the technique to study a copper catalyst.

The Federal Drug Administration (FDA) approved a clinical trial to test a device with 1,024 nanoscale sensors that records brain activity during surgery, developed by engineers at the University of California San Diego (UC San Diego).


Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
Standards for Chiplet Design with 3DIC Packaging (Part 2) Jun 21 Online
DAC 2024 Jun 23 – 27 San Francisco
RISC-V Summit Europe 2024 Jun 24 – 28 Munich
Leti Innovation Days 2024 Jun 25 – 27 Grenoble, France
ISCA 2024 Jun 29 – Jul 3 Buenos Aires, Argentina
SEMICON West Jul 9 – 11 San Francisco
Flash Memory Summit Aug 6 – 8 Santa Clara, CA
USENIX Security Symposium Aug 14 – 16 Philadelphia, PA
Hot Chips 2024 Aug 25- 27 Stanford University
Find All Upcoming Events Here

Upcoming webinars are here.

Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials


The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

Power Electronic Packaging for Discrete Dies

A technical paper titled “Substrate Embedded Power Electronics Packaging for Silicon Carbide MOSFETs” was published by researchers at University of Cambridge, University of Warwick, Chongqing University, and SpaceX.

Abstract:

“This paper proposes a new power electronic packaging for discrete dies, namely Standard Cell which consists of a step-etched active metal brazed (AMB) substrate and a flexible printed circuit board (flex-PCB). The standard cell exhibits high thermal conductivity, complete electrical insulation, and low stray inductance, thereby enhancing the performance of SiC MOSFET devices. The standard cell has a stray power loop inductance of less than 1 nH and a gate loop inductance of less than 1.5 nH . The standard cell has a flat body with surface-mounting electrical connections on one side and direct thermal connections on the other. The use of flex-PCB die interconnection enables maximum utilization of source pads while providing a flexible gate-source connection and the converter PCB. This paper presents the design concept of the standard cell and experimentally validates its effectiveness in a converter system.”

Find the technical paper here. Published May 2024.

A. Janabi et al., “Substrate Embedded Power Electronics Packaging for Silicon Carbide MOSFETs,” in IEEE Transactions on Power Electronics, doi: 10.1109/TPEL.2024.3396779.

Related Reading
Big Shifts In Power Electronics Packaging
Packages are becoming more complex to endure high power, high temperature conditions across a variety of applications.
Power Semiconductors: A Deep Dive Into Materials, Manufacturing & Business
Premium Content: How these devices are made and work, challenges in manufacturing, related startups, as well as the reasons why so much effort and resources are being spent to develop new materials, and new processes.</

The post Power Electronic Packaging for Discrete Dies appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Chip Industry Technical Paper Roundup: June 10

New technical papers added to Semiconductor Engineering’s library this week.

Technical Paper Research Organizations
NeRTCAM: CAM-Based CMOS Implementation of Reference Frames for Neuromorphic Processors Carnegie Mellon University
Using Formal Verification to Evaluate Single Event Upsets in a RISC-V Core University of Southampton
High temperature stability of regrown and alloyed Ohmic contacts to AlGaN/GaN heterostructure up to 500 °C MIT, Technology Innovation Institute, Ohio State University, Rice University and Bangladesh University of Engineering and Technology
Comparative Analysis of Thermal Properties in Molybdenum Substrate to Silicon and Glass for a System-on-Foil Integration Rochester Institute of Technology and Lux Semiconductors
Modelling thermomechanical degradation of moulded electronic packages using physics-based digital twin Delft University of Technology and NXP Semiconductors
On the quality of commercial chemical vapour deposited hexagonal boron nitride KAUST and the National Institute for Materials Science in Japan
CMOS IC Solutions for the 77 GHz Radar Sensor in Automotive Applications STMicroelectronics and University of Catania
Imperceptible augmentation of living systems with organic bioelectronic fibres University of Cambridge and University of Macau

More Reading
Technical Paper Library home

The post Chip Industry Technical Paper Roundup: June 10 appeared first on Semiconductor Engineering.

Chip Industry Week In Review

JEDEC and the Open Compute Project rolled out a new set of guidelines for standardizing chiplet characterization details, such as thermal properties, physical and mechanical requirements, and behavior specs. Those details have been a sticking point for commercial chiplets, because without them it’s not possible to choose the best chiplet for a particular application or workload. The guidelines are a prerequisite for a multi-vendor chiplet marketplace.

AMD, Broadcom, Cisco, Google, HPE, Intel, Meta, and Microsoft proposed a new high-speed, low-latency interconnect specification, Ultra Accelerator Link (UALink), between accelerators and switches in AI computing pods. The 1.0 specification will enable the connection of up to 1,024 accelerators within a pod and allow for direct loads and stores between the memory attached to accelerators.

Arm debuted a range of new CPUs, including the Cortex-X925 for on-device generative AI, and the Cortex-A725 with improved efficiency for AI and mobile gaming. It also announced the Immortalis-G925 GPU for flagship smartphones, and the Mali-G725/625 GPUs for consumer devices. Additionally, Arm announced Compute Subsystems (CSS) for Client to provide foundational computing elements for AI smartphone and PC SoCs, and it introduced KleidiAI, a set of compute kernels for developers of AI frameworks. The Armv9-A architecture also added support for the Scalable Matrix Extension to accelerate AI workloads.

TSMC said its 2nm process is on target to begin mass production in 2025. Meanwhile, Samsung is expected to release its 1nm plan next month, targeting mass production for 2026 — a year ahead of schedule, reports Business Korea.

CHIPs for America and NATCAST released a 2024 roadmap for the U.S. National Semiconductor Technology Center (NSTC), identifying priorities for facilities, research, workforce development, and membership.

China is investing CNY 344 billion (~$47.5 billion) into the third phase of its National Integrated Circuit Industry Investment Fund, also known as the Big Fund, to support its semiconductor sector and supply chain, according to numerous reports.

Malaysia plans to invest $5.3 billion in seed capital and support for semiconductor manufacturing in an effort to attract more than $100 billion in foreign investments, reports Reuters. Prime Minister Anwar Ibrahim announced the effort to create at least 10 companies focused on IC design, advanced packaging, and equipment manufacturing.

imec demonstrated a die-to-wafer hybrid bonding flow for Cu-Cu and SiCN-SiCN at pitches down to 2µm at the IEEE’s ECTC conference. This breakthrough could enable die and wafer-level optical interconnects.

The chip industry is racing to develop glass for advanced packaging, setting the stage for one of the biggest shifts in chip materials in decades — and one that will introduce a broad new set of challenges that will take years to fully resolve.

Quick links to more news:

In-Depth
Global
Product News
Markets and Money
Security
Research and Training
Quantum
Events and Further Reading


In-Depth

Semiconductor Engineering published its Systems & Design newsletter featuring these top stories:


Global

STMicroelectronics is building a fully integrated SiC facility in Catania, Italy.  The high-volume 200mm facility is projected to cost over $5 billion.

Siliconware Precision Industries Co. Ltd.(SPIL) broke ground on an RM 6 billion (~$1.3 billion) advanced packaging and testing facility in Malaysia. Also, Google will invest $2 billion in Malaysia for its first data center, and a Google Cloud hub to meet growing demand for cloud services and AI literacy programs, reports AP.

In an SEC filing, Applied Materials received additional subpoenas from the U.S. Department of Commerce’s (DoC) Bureau of Industry and Security related to shipments of advanced semiconductor equipment to China. This comes on the heels of similar subpoenas issued last year.

A Chinese contractor working for SK hynix was arrested in South Korea and is being charged with funneling more than 3,000 copies of a paper on solving process failure issues to Huawei, reports South Korea’s Union News.

VSORA, CEA-Grenoble, and Valeo were awarded $7 million from the French government to build low-latency, low-power AI inference co-processors for autonomous driving and other applications.

In the U.S., the National Highway Traffic Safety Administration (NHTSA) is investigating unexpected driving behaviors of vehicles equipped with Waymo‘s 5th Generation automated driving system (ADS), with details of nine new incidents on top of the first 22.


Product News

ASE introduced powerSIP, a power delivery platform designed to reduce signal and transmission loss while addressing current density challenges.

Infineon announced a roadmap for energy-efficient power supply units based on Si, SiC, and GaN to address the energy needs of AI data centers, featuring new 8 kW and 12 kW PSUs, in addition to the 3 kW and 3.3 kW units available today. The company also released its CoolSiC MOSFET 400 V family, specially developed for use in the AC/DC stage of AI servers, complementing the PSU roadmap.

Fig. 1: Infineon’s 8kW PSU. Source: Infineon

Infineon also introduced two new generations of high voltage (HV) and medium voltage (MV) CoolGaN TM devices, enabling customers to use GaN in voltage classes from 40 V to 700 V. The devices are built using Infineon’s 8-inch foundry processes.

Ansys launched Ansys Access on Microsoft Azure to provide pre-configured simulation products optimized for HPC on Azure infrastructure.

Foxconn Industrial Internet used Keysight Technology’s Open RAN Studio solution to certify an outdoor Open Radio Unit (O-RU).

Andes Technology announced an SoC and development board for the development and porting of large RISC-V applications.

MediaTek uncorked a pair of mobile chipsets built on a 4nm process that use an octa-core CPU consisting of 4X Arm Cortex-A78 cores operating at up to 2.5GHz paired with 4X Arm Cortex-A55 cores.

The NVIDIA H200 Blackwell platform is expected to begin shipping in Q3 of 2024 and will be available to data centers by Q4, according to TrendForce.

A room-temperature direct fusion hybrid bonding system from Be Semiconductor has shipped to the NHanced advanced packaging facility in North Carolina. The new system offers faster throughput for copper interconnects with submicron pad sizes, greater accuracy and reduced warpage.


Markets and Money

Frore Systems raised $80 million for its solid-state active cooling module, which removes heat from the top of a chip without fans. The device in systems ranging from notebooks and network edge gateways to data centers.

Axus Technology received $12.5 million in capital equity funding to make its chemical mechanical planarization (CMP) equipment for semiconductor wafer polishing, thinning, and cleaning, including of silicon carbide (SiC) wafers.

Elon Musk’s xAI announced a series B funding round of $6 billion.

Micron was ordered to pay $445 million in damages to Netlist for patent infringement of the company’s DDR4 memory module technology between 2021 and 2024.

Global revenue from AI semiconductors is predicted to total $71 billion in 2024, up 33% from 2023, according to Gartner. In 2025, it is expected to jump to $91.9 billion. The value of AI accelerators used in servers is expected to total $21 billion in 2024 and reach $33 billion by 2028.

NAND flash revenue was $14.71 billion in Q1 2024, an increase of 28.1%, according to TrendForce.

The optical transceiver market dipped from $11 billion in 2022 to $10.9 billion in 2023, but it is predicted to reach $22.4 billion by 2029, driven by AI, 800G applications, and the transition to 200G/lane ecosystem technologies, reports Yole.

Yole also found that ultra-wideband technical choices and packaging types used by NXP, Apple, and Qorvo vary considerably, ranging from 7nm to 90nm, with both CMOS and finFET transistors.

The global market share of GenAI-capable smartphones increased to 6% in Q1 2024 from 1.3% in the previous quarter, reports Counterpoint. The premium segment accounted for over 70% of sales with Samsung on top and contributing 58%. Meanwhile, global foldable smartphone shipments were up 49% YoY in Q1 2024, led by Huawei, HONOR, and Motorola.


Security

The National Science Foundation awarded Worcester Polytechnic Institute researcher Shahin Tajik almost $0.6 million to develop new technologies to address hardware security vulnerabilities.

The Hyperform consortium was formed to develop European sovereignty in post-quantum cryptography, funded by the French government and EU credits. Members include IDEMIA Secure Transactions, CEA Leti, and the French cybersecurity agency (ANSSI).

In security research:

  • University of California Davis and University of Arizona researchers proposed a framework leveraging generative pre-trained transformer (GPT) models to automate the obfuscation process.
  • Columbia University and Intel researchers presented a secure digital low dropout regulator that integrates an attack detector and a detection-driven protection scheme to mitigate correlation power analysis.
  • Pohang University of Science and Technology (POSTECH) researchers analyzed threshold switch devices and their performance in hardware security.

The U.S. Defense Advanced Research Projects Agency (DARPA) seeks proposals for its AI Quantified program to develop technology to help deploy generative AI safely and effectively across the Department of Defense (DoD) and society.

Vanderbilt University and Oak Ridge National Laboratory (ORNL) partnered to develop dependable AI for national security applications.

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Research and Training

New York continues to amp up their semiconductor offerings. NY CREATES and Raytheon unveiled a semiconductor workforce training program. And Syracuse  University is hosting a free virtual course focused on the semiconductor industry this summer.

In research news:

  • A team of researchers at MIT and other universities found that extreme temperatures up to 500°C did not significantly degrade GaN materials or contacts.
  • University of Cambridge researchers developed adaptive and eco-friendly sensors that can be directly and imperceptibly printed onto biological surfaces, such as a finger or flower petal.
  • Researchers at Rice University and Hanyang University developed an elastic material that moves like skin and can adjust its dielectric frequency to stabilize RF communications and counter disruptive frequency shifts that interfere with electronics when a substrate is twisted or stretched, with potential for stretchable wearable electronic devices.

The National Science Foundation (NSF) awarded $36 million to three projects chosen for their potential to revolutionize computing. The University of Texas at Austin-led project aims to create a next-gen open-source intelligent and adaptive OS. The Harvard University-led project targets sustainable computing. The University of Massachusetts Amherst-led project will develop computational decarbonization.


Quantum

Singapore will invest close to S$300 million (~$222 million) into its National Quantum Strategy to support the development and deployment of quantum technologies, including an initiative to design and build a quantum processor within the country.

Several quantum partnerships were announced:

  • Riverlane and Alice & Bob will integrate Riverlane’s quantum error correction stack within Alice & Bob’s larger quantum computing system based on cat qubit technology.
  • New York University and the University of Copenhagen will collaborate to explore the viability of hybrid superconductor-semiconductor quantum materials for the production of quantum chips and integration with CMOS processes.
  • NXP, eleQtron, and ParityQC showed off a full-stack, ion-trap based quantum computer demonstrator for Germany’s DLR Quantum Computing Initiative.
  • Photonic says it demonstrated distributed entanglement between quantum modules using optically-linked silicon spin qubits with a native telecom networking interface as part of a quantum internet effort with Microsoft.
  • Classiq and HPE say they developed a rapid method for solving large-scale combinatorial optimization problems by combining quantum and classical HPC approaches.

Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
Hardwear.io Security Trainings and Conference USA 2024 May 28 – Jun 1 Santa Clara, CA
SWTest Jun 3 – 5 Carlsbad, CA
IITC2024: Interconnect Technology Conference Jun 3 – 6 San Jose, CA
VOICE Developer Conference Jun 3 – 5 La Jolla, CA
CHIPS R&D Standardization Readiness Level Workshop Jun 4 – 5 Online and Boulder, CO
SNUG Europe: Synopsys User Group Jun 10 – 11 Munich
IEEE RAS in Data Centers Summit: Reliability, Availability and Serviceability Jun 11 – 12 Santa Clara, CA
3D & Systems Summit Jun 12 – 14 Dresden, Germany
PCI-SIG Developers Conference Jun 12 – 13 Santa Clara, CA
AI Hardware and Edge AI Summit: Europe Jun 18 – 19 London, UK
DAC 2024 Jun 23 – 27 San Francisco
Find All Upcoming Events Here

Upcoming webinars are here, including integrated SLM analytics solution, prototyping and validation of perception sensor systems, and improving PCB designs for performance and reliability.


Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Chip Industry Week In Review

Samsung and Synopsys collaborated on the first production tapeout of a high-performance mobile SoC design, including CPUs and GPUs, using the Synopsys.ai EDA suite on Samsung Foundry’s gate-all-around (GAA) process. Samsung plans to begin mass production of 2nm process GAA chips in 2025, reports BusinessKorea.

UMC developed the first radio frequency silicon on insulator (RF-SOI)-based 3D IC process for chips used in smartphones and other 5G/6G mobile devices. The process uses wafer-to-wafer bonding technology to address radio frequency interference between stacked dies and reduces die size by 45%.

Fig. 1: UMC’s 3D IC solution for RFSOI technology. Source: UMC

The first programmable chip capable of shaping, splitting, and steering beams of light is now being produced by Skywater Technology and Lumotive. The technology is critical for advancing lidar-based systems used in robotics, automotive, and other 3D sensing applications.

Driven by demand for AI chips, SK hynix revealed it has already booked its entire production of high-bandwidth memory chips for 2024 and is nearly sold out of its production capacity for 2025, reported the Korea Times, while SEMI reported that silicon wafer shipments declined in Q1 2024, quarter over quarter, a 13% drop, attributed to continued weakness in IC fab utilization and inventory adjustments.

PCI-SIG published the CopprLink Internal and External Cable specifications to provide PCIe 5.0 and 6.0 signaling at 32 and 64 GT/s and leverage standard connector form factors for applications including storage, data centers, AI/ML, and disaggregated memory.

The U.S. Department of Commerce (DoC) launched the CHIPS Women in Construction Framework to boost the participation of women and economically disadvantaged people in the workforce, aiming to support on-time and successful completion of CHIPS Act-funded projects. Intel and Micron adopted the framework.

Quick links to more news:

Market Reports
Global
In-Depth
Education and Training
Security
Product News
Quantum
Research
Events
Further Reading


Markets and Money

The SiC wafer processing equipment market is growing rapidly, reports Yole. SiC devices will exceed $10B by 2029 at a CAGR of 25%, and the SiC manufacturing tool market is projected to reach $5B by 2026.

imec.xpand launched a €300 million (~$321 million) fund that will invest in semiconductor and nanotechnology startups with the potential to push semiconductor innovation beyond traditional applications and drive next-gen technologies.

Blaize raised $106 million for its programmable graph streaming processor architecture suite and low-code/no-code software platform for edge AI.

Guerrilla RF completed the acquisition of Gallium Semiconductor‘s portfolio of GaN power amplifiers and front-end modules.

About 90% of connected cars sold in 2030 will have embedded 5G capability, reported Counterpoint. Also, about 75% of laptop PCs sold in 2027 will be AI laptop PCs with advanced generative AI, and the global high-level OS (HLOS) or advanced smartwatch market is predicted to grow 15% in 2024.


Global

Powerchip Semiconductor opened a new 300mm facility in northwestern Taiwan targeting the production of AI semiconductors. The facility is expected to produce 50,000 wafers per month at 55, 40, and 28nm nodes.

Taiwan-based KYEC Semiconductor will withdraw its China operations by the third quarter due to increasing geopolitical tensions, reports the South China Morning Post.

Japan will expand its semiconductor export restrictions to China related to four technologies: Scanning electron microscopes, CMOS, FD-SOI, and the outputs of quantum computers, according to TrendForce.

IBM will invest CAD$187 million (~US$137M in Canada’s semiconductor industry, with the bulk of the investment focused on advanced assembly, testing, and packaging operations.

Microsoft will invest US$2.2 billion over the next four years to build Malaysia’s digital infrastructure, create AI skilling opportunities, establish an AI Center of Excellence, and enhance cybersecurity.


In-Depth

New stories and tech talks published by Semiconductor Engineering this week:


Security

Infineon collaborated with ETAS to integrate the ESCRYPT CycurHSM 3.x automotive security software stack into its next-gen AURIX MCUs to optimize security, performance, and functionality.

Synopsys released Polaris Assist, an AI-powered application security assistant on its Polaris Software Integrity Platform, combining LLM technology with application security knowledge and intelligence.

In security research:

U.S. President Biden signed a National Security Memorandum to enhance the resilience of critical infrastructure, and the White House announced key actions taken since Biden’s AI Executive Order, including measures to mitigate risk.

CISA and partners published a fact sheet on pro-Russia hacktivists who seek to compromise industrial control systems and small-scale operational technology systems in North American and European critical infrastructure sectors. CISA issued other alerts including two Microsoft vulnerabilities.


Education and Training

The U.S. National Institute for Innovation and Technology (NIIT) and the Department of Labor (DoL) partnered to celebrate the inaugural Youth Apprenticeship Week on May 5 to 11, highlighting opportunities in critical industries such as semiconductors and advanced manufacturing.

SUNY Poly received an additional $4 million from New York State for its Semiconductor Processing to Packaging Research, Education, and Training Center.

The University of Pennsylvania launched an online Master of Science in Engineering in AI degree.

The American University of Armenia celebrated its 10-year collaboration with Siemens, which provides AUA’s Engineering Research Center with annual research grants.


Product News

Renesas and SEGGER Embedded Studio launched integrated code generator support for its 32-bit RISC-V MCU. 

Rambus introduced a family of DDR5 server Power Management ICs (PMICs), including an extreme current device for high-performance applications.

Fig. 2: Rambus’ server PMIC on DDR5 RDIMM. Source: Rambus

Keysight added capabilities to Inspector, part of the company’s recently acquired device security research and test lab Riscure, that are designed to test the robustness of post-quantum cryptography (PQC) and help device and chip vendors identify and fix hardware vulnerabilities. Keysight also validated new conformance test cases for narrowband IoT non-terrestrial networks standards.

Ansys’ RedHawk-SC and Totem power integrity platforms were certified for TSMC‘s N2 nanosheet-based process technology, while its RaptorX solution for on-chip electromagnetic modeling was certified for TSMC’s N5 process.

Netherlands-based athleisure brand PREMIUM INC selected CLEVR to implement Siemens’ Mendix Digital Lifecycle Management for Fashion & Retail solution.

Micron will begin shipping high-capacity DRAM for AI data centers.

Microchip uncorked radiation-tolerant SoC FPGAs for space applications that uses a real-time Linux-capable RISC-V-based microprocessor subsystem.


Quantum

University of Chicago researchers developed a system to boost the efficiency of quantum error correction using a framework based on quantum low-density party-check (qLDPC) codes and new hardware involving reconfigurable atom arrays.

PsiQuantum will receive AUD $940 million (~$620 million) in equity, grants, and loans from the Australian and Queensland governments to deploy a utility-scale quantum computer in the regime of 1 million physical qubits in Brisbane, Australia.

Japan-based RIKEN will co-locate IBM’s Quantum System Two with its Fugaku supercomputer for integrated quantum-classical workflows in a heterogeneous quantum-HPC hybrid computing environment. Fugaku is currently one of the world’s most powerful supercomputers.

QuEra Computing was awarded a ¥6.5 billion (~$41 million) contract by Japan’s National Institute of Advanced Industrial Science and Technology (AIST) to deliver a gate-based neutral-atom quantum computer alongside AIST’s ABCI-Q supercomputer as part of a quantum-classical computing platform.

Novo Holdings, the controlling stakeholder of pharmaceutical company Novo Nordisk, plans to boost the quantum technology startup ecosystem in Denmark with DKK 1.4 billion (~$201 million) in investments.

The University of Sydney received AUD $18.4 million (~$12 million) from the Australian government to help grow the quantum industry and ecosystem.

The European Commission plans to spend €112 million (~$120 million) to support AI and quantum research and innovation.


Research

Intel researchers developed a 300-millimeter cryogenic probing process to collect high-volume data on the performance of silicon spin qubit devices across whole wafers using CMOS manufacturing techniques.

EPFL researchers used a form of ML called deep reinforcement learning (DRL) to train a four-legged robot to avoid falls by switching between walking, trotting, and pronking.=

The University of Cambridge researchers developed tiny, flexible nerve cuff devices that can wrap around individual nerve fibers without damaging them, useful to treat a range of neurological disorders.

Argonne National Laboratory and Toyota are exploring a direct recycling approach that carefully extracts components from spent batteries. Argonne is also working with Talon Metals on a process that could increase the number of EV batteries produced from mined nickel ore.


Events

Find upcoming chip industry events here, including:

Event Date Location
IEEE International Symposium on Hardware Oriented Security and Trust (HOST) May 6 – 9 Washington DC
MRS Spring Meeting & Exhibit May 7 – 9 Virtual
ASMC: Advanced Semiconductor Manufacturing Conference May 13 – 16 Albany, NY
ISES Taiwan 2024: International Semiconductor Executive Summit May 14 – 15 New Taipei City
Ansys Simulation World 2024 May 14 – 16 Online
NI Connect Austin 2024 May 20 – 22 Austin, Texas
ITF World 2024 (imec) May 21 – 22 Antwerp, Belgium
Embedded Vision Summit May 21 – 23 Santa Clara, CA
ASIP Virtual Seminar 2024 May 22 Online
Electronic Components and Technology Conference (ECTC) 2024 May 28 – 31 Denver, Colorado
Hardwear.io Security Trainings and Conference USA 2024 May 28 – Jun 1 Santa Clara, CA
Find All Upcoming Events Here

Upcoming webinars are here.


Further Reading

Read the latest special reports and top stories, or check out the latest newsletters:

Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials
Automotive, Security and Pervasive Computing

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
❌