FreshRSS

Normální zobrazení

Jsou dostupné nové články, klikněte pro obnovení stránky.
PředevčíremHlavní kanál
  • ✇Semiconductor Engineering
  • Metrology And Inspection For The Chiplet EraGregory Haley
    New developments and innovations in metrology and inspection will enable chipmakers to identify and address defects faster and with greater accuracy than ever before, all of which will be required at future process nodes and in densely-packed assemblies of chiplets. These advances will affect both front-end and back-end processes, providing increased precision and efficiency, combined with artificial intelligence/machine learning and big data analytics. These kinds of improvements will be crucia
     

Metrology And Inspection For The Chiplet Era

6. Srpen 2024 v 09:03

New developments and innovations in metrology and inspection will enable chipmakers to identify and address defects faster and with greater accuracy than ever before, all of which will be required at future process nodes and in densely-packed assemblies of chiplets.

These advances will affect both front-end and back-end processes, providing increased precision and efficiency, combined with artificial intelligence/machine learning and big data analytics. These kinds of improvements will be crucial for meeting the industry’s changing needs, enabling deeper insights and more accurate measurements at rates suitable for high-volume manufacturing. But gaps still need to be filled, and new ones are likely to show up as new nodes and processes are rolled out.

“As semiconductor devices become more complex, the demand for high-resolution, high-accuracy metrology tools increases,” says Brad Perkins, product line manager at Nordson Test & Inspection. “We need new tools and techniques that can keep up with shrinking geometries and more intricate designs.”

The shift to high-NA EUV lithography (0.55 NA EUV) at the 2nm node and beyond is expected to exacerbate stochastic variability, demanding more robust metrology solutions on the front end. Traditional critical dimension (CD) measurements alone are insufficient for the level of analysis required. Comprehensive metrics, including line-edge roughness (LER), line-width roughness (LWR), local edge-placement error (LEPE), and local CD uniformity (LCDU), alongside CD measurements, are necessary for ensuring the integrity and performance of advanced semiconductor devices. These metrics require sophisticated tools that can capture and analyze tiny variations at the nanometer scale, where even slight discrepancies can significantly impact device functionality and yield.

“Metrology is now at the forefront of yield, especially considering the current demands for DRAM and HBM,” says Hamed Sadeghian, president and CEO of Nearfield Instruments. “The next generations of HBMs are approaching a stage where hybrid bonding will be essential due to the increasing stack thickness. Hybrid bonding requires high resolutions in vertical directions to ensure all pads, and the surface height versus the dielectric, remain within nanometer-scale process windows. Consequently, the tools used must be one order of magnitude more precise.”

To address these challenges, companies are developing hybrid metrology systems that combine various measurement techniques for a comprehensive data set. Integrating scatterometry, electron microscopy, and/or atomic force microscopy allows for more thorough analysis of critical features. Moreover, AI and ML algorithms enhance the predictive capabilities of these tools, enabling process adjustments.

“Our customers who are pushing into more advanced technology nodes are desperate to understand what’s driving their yield,” says Ronald Chaffee, senior director of applications engineering at NI/Emerson Test & Measurement. “They may not know what all the issues are, but they are gathering all possible data — metrology, AEOI, and any measurable parameters — and seeking correlations.”

Traditional methods for defect detection, pattern recognition, and quality control typically used spatial pattern-recognition modules and wafer image-based algorithms to address wafer-level issues. “However, we need to advance beyond these techniques,” says Prasad Bachiraju, senior director of business development at Onto Innovation. “Our observations show that about 20% of wafers have systematic issues that can limit yield, with nearly 4% being new additions. There is a pressing need for advanced metrology for in-line monitoring to achieve zero-defect manufacturing.”

Several companies recently announced metrology innovations to provide more precise inspections, particularly for difficult-to-see areas, edge effects, and highly reflective surfaces.

Nordson unveiled its AMI SpinSAM acoustic rotary scan system. The system represents a significant departure from traditional raster scan methods, utilizing a rotational scanning approach. Rather than moving the wafer in an x,y pattern relative to a stationary lens, the wafer spins, similar to a record player. This reduces motion over the wafer and increases inspection speed, negating the need for image stitching and improving image quality.

“For years, we’d been trying to figure out this technique, and it’s gratifying to finally achieve it. It’s something we’ve always thought would be incredibly beneficial,” says Perkins. “The SpinSAM is designed primarily to enhance inspection speed and efficiency, addressing the common industry demand for more product throughput and better edge inspection capabilities.”

Meanwhile, Nearfield Instruments introduced a multi-head atomic force microscopy (AFM) system called QUADRA. It is a high-throughput, non-destructive metrology tool for HVM that features a novel multi-miniaturized AFM head architecture. Nearfield claims the parallel independent multi-head scanner can deliver a 100-fold throughput advantage versus conventional single-probe AFM tools. This architecture allows for precise measurements of high-aspect-ratio structures and complex 3D features, critical for advanced memory (3D NAND, DRAM, HBM) and logic processes.


Fig. 1: Image capture comparison of standard AFM and multi-head AFM. Source: Nearfield Instruments

In April, Onto Innovation debuted an advancement in subsurface defect inspection technology with the release of its Dragonfly G3 inspection system. The new system allows for 100% wafer inspection, targeting subsurface defects that can cause yield losses, such as micro-cracks and other hidden flaws that may lead to entire wafers breaking during subsequent processing steps. The Dragonfly G3 utilizes novel infrared (IR) technology combined with specially designed algorithms to detect these defects, which previously were undetectable in a production environment. This new capability supports HBM, advanced logic, and various specialty segments, and aims to improve final yield and cost savings by reducing scrapped wafers and die stacks.

More recently, researchers at the Paul Scherrer Institute announced a high-performance X-ray tomography technique using burst ptychography. This new method can provide non-destructive, detailed views of nanostructures as small as 4nm in materials like silicon and metals at a fast acquisition rate of 14,000 resolution elements per seconds. The tomographic back-propagation reconstruction allows imaging of samples up to ten times larger than the conventional depth of field.

There are other technologies and techniques for improving metrology in semiconductor manufacturing, as well, including wafer-level ultrasonic inspection, which involves flipping the wafer to inspect from the other side. New acoustic microscopy techniques, such as scanning acoustic microscopy (SAM) and time-of-flight acoustic microscopy (TOF-AM), enable the detection and characterization of very small defects, such as voids, delaminations, and cracks within thin films and interfaces.

“We used to look at 80 to 100 micron resist films, but with 3D integrated packaging, we’re now dealing with films that are 160 to 240 microns—very thick resist films,” says Christopher Claypool, senior application scientist at Bruker OCD. “In TSVs and microbumps, the dominant technique today is white light interferometry, which provides profile information. While it has some advantages, its throughput is slow, and it’s a focus-based technique. This limitation makes it difficult to measure TSV structures smaller than four or five microns in diameter.”

Acoustic metrology tools equipped with the newest generation of focal length transducers (FLTs) can focus acoustic waves with precision down to a few nanometers, allowing for non-destructive detailed inspection of edge defects and critical stress points. This capability is particularly useful for identifying small-scale defects that might be missed by other inspection methods.

The development and integration of smart sensors in metrology equipment is instrumental in collecting the vast amounts of data needed for precise measurement and quality control. These sensors are highly sensitive and capable of operating under various environmental conditions, ensuring consistent performance. One significant advantage of smart sensors is their ability to facilitate predictive maintenance. By continuously monitoring the health and performance of metrology equipment, these sensors can predict potential failures and schedule maintenance before significant downtime occurs. This capability enhances the reliability of the equipment, reduces maintenance costs, and improves overall operational efficiency.

Smart sensors also are being developed to integrate seamlessly with metrology systems, offering real-time data collection and analysis. These sensors can monitor various parameters throughout the manufacturing process, providing continuous feedback and enabling quick adjustments to prevent defects. Smart sensors, combined with big data platforms and advanced data analytics, allow for more efficient and accurate defect detection and classification.

Critical stress points

A persistent challenge in semiconductor metrology is the identification and inspection of defects at critical stress points, particularly at the silicon edges. For bonded wafers, it’s at the outer ring of the wafer. For chip-on-wafer packaging, it’s at the edge of the chips. These edge defects are particularly problematic because they occur at the highest stress points from the neutral axis, making them more prone to failures. As semiconductor devices continue to involve more intricate packaging techniques, such as chip-on-wafer and wafer-level packaging, the focus on edge inspection becomes even more critical.

“When defects happen in a factory, you need imaging that can detect and classify them,” says Onto’s Bachiraju. “Then you need to find the root causes of where they’re coming from, and for that you need the entire data integration and a big data platform to help with faster analysis.”

Another significant challenge in semiconductor metrology is ensuring the reliability of known good die (KGD), especially as advanced packaging techniques and chiplets become more prevalent. Ensuring that every chip/chiplet in a stacked die configuration is of high quality is essential for maintaining yield and performance, but the speed of metrology processes is a constant concern. This leads to a balancing act between thoroughness and efficiency. The industry continuously seeks to develop faster machines that can handle the increasing volume and complexity of inspections without compromising accuracy. In this race, innovations in data processing and analysis are key to achieving quicker results.

“Customers would like, generally, 100% inspection for a lot of those processes because of the known good die, but it’s cost-prohibitive because the machines just can’t run fast enough,” says Nordson’s Perkins.

Metrology and Industry 4.0

Industry 4.0 — a term introduced in Germany in 2011 for the fourth industrial revolution, and called smart manufacturing in the U.S. — emphasizes the integration of digital technologies such as the Internet of Things, artificial intelligence, and big data analytics into manufacturing processes. Unlike past revolutions driven by mechanization, electrification, and computerization, Industry 4.0 focuses on connectivity, data, and automation to enhance manufacturing capabilities and efficiency.

“The better the data integration is, the more efficient the yield ramp,” says Dieter Rathei, CEO of DR Yield. “It’s essential to integrate all available data into the system for effective monitoring and analysis.”

In semiconductor manufacturing, this shift toward Industry 4.0 is particularly transformative, driven by the increasing complexity of semiconductor devices and the demand for higher precision and yield. Traditional metrology methods, heavily reliant on manual processes and limited automation, are evolving into highly interconnected systems that enable real-time data sharing and decision-making across the entire production chain.

“There haven’t been many tools to consolidate different data types into a single platform,” says NI’s Chaffee. “Historically, yield management systems focused on testing, while FDC or process systems concentrated on the process itself, without correlating the two. As manufacturers push into the 5, 3, and 2nm spaces, they’re discovering that defect density alone isn’t the sole governing factor. Process control is also crucial. By integrating all data, even the most complex correlations that a human might miss can be identified by AI and ML. The goal is to use machine learning to detect patterns or connections that could help control and optimize the manufacturing process.”

IoT forms the backbone of Industry 4.0 by connecting various devices, sensors, and systems within the manufacturing environment. In semiconductor manufacturing, IoT enables seamless communication between metrology tools, production equipment, and factory management systems. This interconnected network facilitates real-time monitoring and control of manufacturing processes, allowing for immediate adjustments and optimization.

“You need to integrate information from various sources, including sensors, metrology tools, and test structures, to build predictive models that enhance process control and yield improvement,” says Michael Yu, vice president of advanced solutions at PDF Solutions. “This holistic approach allows you to identify patterns and correlations that were previously undetectable.”

AI and ML are pivotal in processing and analyzing the vast amounts of data generated in a smart factory. These technologies can identify patterns, predict equipment failures, and optimize process parameters with a level of precision and speed unattainable by human operators alone. In semiconductor manufacturing, AI-driven analytics enhance process control, improve yield rates, and reduce downtime. “One of the major trends we see is the integration of artificial intelligence and machine learning into metrology tools,” says Perkins. “This helps in making sense of the vast amounts of data generated and enables more accurate and efficient measurements.”

AI’s role extends further as it assists in discovering anomalies within the production process that might have gone unnoticed with traditional methods. AI algorithms integrated into metrology systems can dynamically adjust processes in real-time, ensuring that deviations are corrected before they affect the end yield. This incorporation of AI minimizes defect rates and enhances overall production quality.

“Our experience has shown that in the past 20 years, machine learning and AI algorithms have been critical for automatic data classification and die classification,” says Bachiraju. “This has significantly improved the efficiency and accuracy of our metrology tools.”

Big data analytics complements AI/ML by providing the infrastructure necessary to handle and interpret massive datasets. In semiconductor manufacturing, big data analytics enables the extraction of actionable insights from data generated by IoT devices and production systems. This capability is crucial for predictive maintenance, quality control, and continuous process improvement.

“With big data, we can identify patterns and correlations that were previously impossible to detect, leading to better process control and yield improvement,” says Perkins.

Big data analytics also helps in understanding the lifecycle of semiconductor devices from production to field deployment. By analyzing product performance data over time, manufacturers can predict potential failures and enhance product designs, increasing reliability and lifecycle management.

“In the next decade, we see a lot of opportunities for AI,” says DR Yield’s Rathei. “The foundation for these advancements is the availability of comprehensive data. AI models need extensive data for training. Once all the data is available, we can experiment with different models and ideas. The ingenuity of engineers, combined with new tools, will drive exponential progress in this field.”

Metrology gaps remain

Despite recent advancements in metrology, analytics, and AI/ML, several gaps still remain, particularly in the context of high-volume manufacturing (HVM) and next-generation devices. The U.S. Commerce Department’s CHIPS R&D Metrology Program, along with industry stakeholders, have highlighted seven “grand challenges,” areas where current metrology capabilities fall short:

Metrology for materials purity and properties: There is a critical need for new measurements and standards to ensure the purity and physical properties of materials used in semiconductor manufacturing. Current techniques lack the sensitivity and throughput required to detect particles and contaminants throughout the supply chain.

Advanced metrology for future manufacturing: Next-generation semiconductor devices, such as gate-all-around (GAA) FETs and complementary FETs (CFETs), require breakthroughs in both physical and computational metrology. Existing tools are not yet capable of providing the resolution, sensitivity, and accuracy needed to characterize the intricate features and complex structures of these devices. This includes non-destructive techniques for characterizing defects and impurities at the nanoscale.

“There is a secondary challenge with some of the equipment in metrology, which often involves sampling data from single points on a wafer, much like heat test data that only covers specific sites,” says Chaffee. “To be meaningful, we need to move beyond sampling methods and find creative ways to gather information from every wafer, integrating it into a model. This involves building a knowledge base that can help in detecting patterns and correlations, which humans alone might miss. The key is to leverage AI and machine learning to identify these correlations and make sense of them, especially as we push into the 5, 3, and 2nm spaces. This process is iterative and requires a holistic approach, encompassing various data points and correlating them to understand the physical boundaries and the impact on the final product.”

Metrology for advanced packaging: The integration of sophisticated components and novel materials in advanced packaging technologies presents significant metrology challenges. There is a need for rapid, in-situ measurements to verify interfaces, subsurface interconnects, and internal 3D structures. Current methods do not adequately address issues such as warpage, voids, substrate yield, and adhesion, which are critical for the reliability and performance of advanced packages.

Modeling and simulating semiconductor materials, designs, and components: Modeling and simulating semiconductor processes require advanced computational models and data analysis tools. Current capabilities are limited in their ability to seamlessly integrate the entire semiconductor value chain, from materials inputs to system assembly. There is a need for standards and validation tools to support digital twins and other advanced simulation techniques that can optimize process development and control.

“Predictive analytics is particularly important,” says Chaffee. “They aim to determine the probability of any given die on a wafer being the best yielding or presenting issues. By integrating various data points and running different scenarios, they can identify and understand how specific equipment combinations, sequences and processes enhance yields.”

Modeling and simulating semiconductor processes: Current capabilities are limited in their ability to seamlessly integrate the entire semiconductor value chain, from materials inputs to system assembly. There is a need for standards and validation tools to support digital twins and other advanced simulation techniques that can optimize process development and control.

“Part of the problem comes from the back-end packaging and assembly process, but another part of the problem can originate from the quality of the wafer itself, which is determined during the front-end process,” says PDF’s Yu. “An effective ML model needs to incorporate both front-end and back-end information, including data from equipment sensors, metrology, and structured test information, to make accurate predictions and take proactive actions to correct the process.”

Standardizing new materials and processes: The development of future information and communication technologies hinges on the creation of new standards and validation methods. Current reference materials and calibration services do not meet the requirements for next-generation materials and processes, such as those used in advanced packaging and heterogeneous integration. This gap hampers the industry’s ability to innovate and maintain competitive production capabilities.

Metrology to enhance security and provenance of components and products: With the increasing complexity of the semiconductor supply chain, there is a need for metrology solutions that can ensure the security and provenance of components and products. This involves developing methods to trace materials and processes throughout the manufacturing lifecycle to prevent counterfeiting and ensure compliance with regulatory standards.

“The focus on security and sharing changes the supplier relationship into more of a partnership and less of a confrontation,” says Chaffee. “Historically, there’s always been a concern of data flowing across that boundary. People are very protective about their process, and other people are very protective about their product. But once you start pushing into the deep sub-micron space, those barriers have to come down. The die are too expensive for them not to communicate, but they can still do so while protecting their IP. Companies are starting to realize that by sharing parametric test information securely, they can achieve better yield management and process optimization without compromising their intellectual property.”

Conclusion

Advancements in metrology and testing are pivotal for the semiconductor industry’s continued growth and innovation. The integration of AI/ML, IoT, and big data analytics is transforming how manufacturers approach process control and yield improvement. As adoption of Industry 4.0 grows, the role of metrology will become even more critical in ensuring the efficiency, quality, and reliability of semiconductor devices. And by leveraging these advanced technologies, semiconductor manufacturers can achieve higher yields, reduce costs, and maintain the precision required in this competitive industry.

With continuous improvements and the integration of smart technologies, the semiconductor industry will keep pushing the boundaries of innovation, leading to more robust and capable electronic devices that define the future of technology. The journey toward a fully realized Industry 4.0 is ongoing, and its impact on semiconductor manufacturing undoubtedly will shape the future of the industry, ensuring it stays at the forefront of global technological advancements.

“Anytime you have new packaging technologies and process technologies that are evolving, you have a need for metrology,” says Perkins. “When you are ramping up new processes and need to make continuous improvements for yield, that is when you see the biggest need for new metrology solutions.”

The post Metrology And Inspection For The Chiplet Era appeared first on Semiconductor Engineering.

  • ✇IEEE Spectrum
  • The Tiny Ultrabright Laser that Can Melt SteelSusumu Noda
    In 2016, the Japanese government announced a plan for the emergence of a new kind of society. Human civilization, the proposal explained, had begun with hunter-gatherers, passed through the agrarian and industrial stages, and was fast approaching the end of the information age. As then Prime Minister Shinzo Abe put it, “We are now witnessing the opening of the fifth chapter.” This chapter, called Society 5.0, would see made-on-demand goods and robot caretakers, taxis, and tractors. Many of
     

The Tiny Ultrabright Laser that Can Melt Steel

14. Duben 2024 v 17:00


In 2016, the Japanese government announced a plan for the emergence of a new kind of society. Human civilization, the proposal explained, had begun with hunter-gatherers, passed through the agrarian and industrial stages, and was fast approaching the end of the information age. As then Prime Minister Shinzo Abe put it, “We are now witnessing the opening of the fifth chapter.”

This chapter, called Society 5.0, would see made-on-demand goods and robot caretakers, taxis, and tractors. Many of the innovations that will enable it, like artificial intelligence, might be obvious. But there is one key technology that is easy to overlook: lasers.

The lasers of Society 5.0 will need to meet several criteria. They must be small enough to fit inside everyday devices. They must be low-cost so that the average metalworker or car buyer can afford them—which means they must also be simple to manufacture and use energy efficiently. And because this dawning era will be about mass customization (rather than mass production), they must be highly controllable and adaptive.

Semiconductor lasers would seem the perfect candidates, except for one fatal flaw: They are much too dim. Laser brightness—defined as optical power per unit area per unit of solid angle—is a measure of how intensely light can be focused as it exits the laser and how narrowly it diverges as it moves away. The threshold for materials work—cutting, welding, drilling—is on the order of 1 gigawatt per square centimeter per steradian (GW/cm2/sr). However, the brightness of even the brightest commercial semiconductor lasers falls far below that.

Brightness is also important for light detection and ranging (lidar) systems in autonomous robots and vehicles. These systems don’t require metal-melting power, but to make precise measurements from long distances or at high speeds, they do require tightly focused beams. Today’s top-line lidar systems employ more than 100 semiconductor lasers whose inherently divergent beams are collimated using a complicated setup of lenses installed by hand. This complexity drives up cost, putting lidar-navigated cars out of reach for most consumers.

An image of circle and squares on a gold background. Multiple 3-millimeter-wide photonic-crystal semiconductor lasers are built on a semiconductor wafer. Susumu Noda

Of course, other types of lasers can produce ultrabright beams. Carbon dioxide and fiber lasers, for instance, dominate the market for industrial applications. But compared to speck-size semiconductor lasers, they are enormous. A high-power CO2 laser can be as large as a refrigerator. They are also more expensive, less energy efficient, and harder to control.

Over the past couple of decades, our team at Kyoto University has been developing a new type of semiconductor laser that blows through the brightness ceiling of its conventional cousins. We call it the photonic-crystal surface-emitting laser, or PCSEL (pronounced “pick-cell”). Most recently, we fabricated a PCSEL that can be as bright as gas and fiber lasers—bright enough to quickly slice through steel—and proposed a design for one that is 10 to 100 times as bright. Such devices could revolutionize the manufacturing and automotive industries. If we, our collaborating companies, and research groups around the world—such as at National Yang Ming Chiao Tung University, in Hsinchu, Taiwan; the University of Texas at Arlington; and the University of Glasgow—can push PCSEL brightness further still, it would even open the door to exotic applications like inertial-confinement nuclear fusion and light propulsion for spaceflight.

Hole-y Grail

The magic of PCSELs arises from their unique construction. Like any semiconductor laser, a PCSEL consists of a thin layer of light-generating material, known as the active layer, sandwiched between cladding layers. In fact, for the sake of orientation, it’s helpful to picture the device as a literal sandwich—let’s say a slice of ham between two pieces of bread.

Now imagine lifting the sandwich to your mouth, as if you are about to take a bite. If your sandwich were a conventional semiconductor laser, its beam would radiate from the far edge, away from you. This beam is created by passing a current through a stripe in the active “ham” layer. The excited ham atoms spontaneously release photons, which stimulate the release of identical photons, amplifying the light. Mirrors on each end of the stripe then repeatedly reflect these waves; because of interference and loss, only certain frequencies and spatial patterns—or modes—are sustained. When the gain of a mode exceeds losses, the light emerges in a coherent beam, and the laser is said to oscillate in that mode.

The problem with this standard stripe approach is that it is very difficult to increase output power without sacrificing beam quality. The power of a semiconductor laser is limited by its emission area because extremely concentrated light can cause catastrophic damage to the semiconductor. You can deliver more power by widening the stripe, which is the strategy used for so-called broad-area lasers. But a wider stripe also gives room for the oscillating light to take zigzag sideways paths, forming what are called higher-order lateral modes.

More Modes, More Problems


An illustration of \u200ba conventional semiconductor laser.


You can visualize the intesity pattern of a lateral mode by imagining that you’ve placed a screen in the cross section of the output beam. Light bouncing back and forth perfectly along the length of the stripe forms the fundamental (zero-order) mode, which has a single peak of intensity in the center of the beam. The first-order mode, from light reflecting at an angle to the edge of the sandwich, has two peaks to the right and left; the second-order mode, from a smaller angle, has a row of three peaks, and so on. For each higher-order mode, the laser effectively operates as a combination of smaller emitters whose narrower apertures cause the beam to diverge rapidly. The resulting mixture of lateral modes therefore makes the laser light spotty and diffuse.

Those troublesome modes are why the brightness of conventional semiconductor lasers maxes out around 100 MW/cm2/sr. PCSELs deal with unwanted modes by adding another layer inside the sandwich: the “Swiss cheese” layer. This special extra layer is a semiconductor sheet stamped with a two-dimensional array of nanoscale holes. By tuning the spacing and shape of the holes, we can control the propagation of light inside the laser so that it oscillates in only the fundamental mode, even when the emission area is expanded. The result is a beam that can be both powerful and narrow—that is, bright.

Because of their internal physics, PCSELs operate in a completely different way from edge-emitting lasers. Instead of pointing away from you, for instance, the beam from your PCSEL sandwich would now radiate upward, through the top slice of bread. To explain this unusual emission, and why PCSELs can be orders of magnitude brighter than other semiconductor lasers, we must first describe the material properties of the Swiss cheese—in actuality, a fascinating structure called a photonic crystal.

How Photonic Crystals Work

Photonic crystals control the flow of light in a way that’s similar to how semiconductors control the flow of electrons. Instead of atoms, however, the lattice of a photonic crystal is sculpted out of larger entities—such as holes, cubes, or columns—arranged such that the refractive index changes periodically on the scale of a wavelength of light. Although the quest to artificially construct these marvelous materials began less than 40 years ago, scientists have since learned that they already exist in nature. Opals, peacock feathers, and some butterfly wings, for example, all owe their brilliant iridescence to the intricate play of light within naturally engineered photonic crystals.

Understanding how light moves in a photonic crystal is fundamental to PCSEL design. We can predict this behavior by studying the crystal’s photonic band structure, which is analogous to the electronic band structure of a semiconductor. One way to do that is to plot the relationship between frequency and wavenumber—the number of wave cycles that fit within one unit cell of the crystal’s lattice.

How Light Moves in a Photonic Crystal


An illustration of groove and standing wave of light


An illustration of 2 squares with 9 circles each.


Consider, for example, a simple one-dimensional photonic crystal formed by alternating ribbons of glass and air. Light entering the crystal will refract through and partially reflect off each interface, producing overlapping beams that reinforce or weaken one another according to the light’s wavelength and direction. Most waves will travel through the material. But at certain points, called singularity points, the reflections combine perfectly with the incident wave to form a standing wave, which does not propagate. In this case, a singularity occurs when a wave undergoes exactly half a cycle from one air ribbon to the next. There are other singularities wherever a unit cell is an integer multiple of half the wavelength.

One of us (Susumu Noda) began experimenting with lasers containing photonic crystal-like structures before these materials even had a name. In the mid 1980s, while at Mitsubishi Electric Corporation, he studied a semiconductor laser called a distributed feedback (DFB) laser. A DFB laser is a basic stripe laser with an extra internal layer containing regularly spaced grooves filled with matter of a slightly different refractive index. This periodic structure behaves somewhat like the 1D photonic crystal described above: It repeatedly reflects light at a single wavelength, as determined by the groove spacing, such that a standing wave emerges. Consequently, the laser oscillates at only that wavelength, which is critical for long-haul fiber-optic transmission and high-sensitivity optical sensing.

Steel Slicer


An illustration of a 2D array.


As the Mitsubishi team demonstrated, a DFB laser can be enticed to perform other tricks. For instance, when the team set the groove spacing equal to the lasing wavelength in the device, some of the oscillating light diffracted upward, causing the laser to shine not only from the tiny front edge of its active stripe but also from the stripe’s top. However, this surface beam fanned wildly due to the narrow width of the stripe, which also made it difficult to increase the output power.

To Noda’s disappointment, his team’s attempts to widen the stripe—and therefore increase brightness—without causing other headaches were unsuccessful. Nevertheless, those early failures planted an intriguing idea: What if laser light could be controlled in two dimensions instead of one?

Boosting Brightness

Later, at Kyoto University, Noda led research into 2D and 3D photonic crystals just as the field was coming into being. In 1998, his team built the first PCSEL, and we have since honed the design for various functionalities, including high brightness.

In a basic PCSEL, the photonic-crystal layer is a 2D square lattice: Each unit cell is a square delineated by four holes. Although the band structure of a 2D photonic crystal is more complicated than that of a 1D crystal, it likewise reveals singularities where we expect standing waves to form. For our devices, we have made use of the singularity that occurs when the distance between neighboring holes is one wavelength. A gallium arsenide laser operating at 940 nanometers, for example, has an internal wavelength of around 280 nm (considering refractive index and temperature). So the holes in a basic gallium arsenide PCSEL would be set about 280 nm apart.

The operating principle is this: When waves of that length are generated in the active layer, the holes in the neighboring photonic-crystal layer act like tiny mirrors, bending the light both backward and sideways. The combined effect of multiple such diffractions creates a 2D standing wave, which is then amplified by the active layer. Some of this oscillating light also diffracts upward and downward and leaks out the laser’s top, producing a surface beam of a single wavelength.

A key reason this design works is the large refractive index contrast between the semiconductor and the air inside the holes. As Noda discovered while creating the first device, PCSELs with low refractive index contrasts, like those of DFB lasers, do not oscillate coherently. Also unlike a DFB laser, a PCSEL’s surface emission area is broad and usually round. It can therefore produce a higher quality beam with much lower divergence.

Bigger and Brighter 


As PCSEL size grows to accommodate more optical power, more lateral modes begin to oscillate. Here’s how those modes are eliminated in each device generation.


An illustration of higher-order lateral modes form

Higher-order lateral modes form when a standing wave has multiple average peaks of intensity. When the emission area of the PCSEL is relatively small, the peaks sit near its edge. Consequently, most of the light leaks out of the sides, and so the higher-order modes do not oscillate.



An illustration of the double lattice

The double lattice causes light diffracting through the crystal to interfere destructively. These cancellations weaken and spread the intensity peaks of the standing waves, causing the higher-order modes to leak heavily again. However, this method alone does not sufficiently suppress those modes in larger devices.



An illustration of the bottom reflector

Adjustments to the holes and the bottom reflector induce light exiting the laser to lose some of its energy through interference with the standing waves. Because higher-order modes lose more light, they can be selectively cut off.

In 2014, our group reported that a PCSEL with a square lattice of triangular holes and an emission area of 200 by 200 μm could operate continuously at around 1 watt while maintaining a spotlike beam that diverged only about 2 degrees. Compared with conventional semiconductor lasers, whose beams typically diverge more than 30 degrees, this performance was remarkable. The next step was to boost optical power, for which we needed a larger device. But here we hit a snag.

According to our theoretical models, PCSELs using the single-lattice design could not grow larger than about 200 μm without inviting pesky higher-order lateral modes. In a PCSEL, multiple modes form when the intensity of a standing wave can be distributed in multiple ways due to the interference pattern created by repeated diffractions. In the fundamental (read: desirable) mode, the intensity distribution resembles Mount Fuji, with most of the oscillating light concentrated in the center of the lattice. Each higher-order mode, meanwhile, has two, three, four, or more Mount Fujis. So when the laser’s emission area is relatively small, the intensity peaks of the higher-order modes sit near the lattice’s periphery. Most of their light therefore leaks out of the sides of the device, preventing these modes from oscillating and contributing to the laser beam. But as with conventional lasers, enlarging the emission area makes space for more modes to oscillate.

To solve that problem, we added another set of holes to the photonic-crystal layer, creating a double lattice. In our most successful version, a square lattice of circular holes is shifted a quarter wavelength from a second square lattice of elliptical holes. As a result, some of the diffracting light inside the crystal interferes destructively. These cancellations cause the intensity peaks of the lateral modes to weaken and spread. So when we expand the laser’s emission area, light from the higher-order modes still leaks heavily and does not oscillate.

Using that approach, we fabricated a PCSEL with a round emission area 1 millimeter in diameter and showed it could produce a 10-W beam under continuous operation. Diverging just one-tenth of a degree, the beam was even slenderer and more collimated than its 200-μm predecessor and more than three times as bright as is possible with a conventional semiconductor laser. Our device also had the advantage of oscillating in a single mode, of course, which conventional lasers of comparable size cannot do.

Pushing PCSEL brightness higher required further innovation. At larger diameters, the double-lattice approach alone does not sufficiently suppress higher-order modes, and so they oscillate yet again. We had observed, however, that these modes depart the laser slightly askew, which drew our attention to the backside reflector. (Picture a sheet of tinfoil lining the bottom of your ham and Swiss sandwich.)

This 50-watt PCSEL is bright enough to slice through steel. Susumu Noda

In previous device generations, this reflector had served simply to bounce downward-diffracted light up and out from the laser’s emitting surface. By adjusting its position (as well as the spacing and shape of the photonic-crystal holes), we found we could control the reflections so that they interfere in a useful way with the 2D standing waves oscillating within the photonic-crystal layer. This interference, or coupling, essentially induces the departing waves to lose some of their energy. The more askew a departing wave, the more light is lost. And poof! No more higher-order modes.

That is how, in 2023, we developed a PCSEL whose brightness of 1 GW/cm2/sr rivals that of gas and fiber lasers. With a 3-mm emission diameter, it could lase continuously at up to 50 W while sustaining a beam that diverged a minuscule one-twentieth of a degree. We even used it to cut through steel. As the bright, beautiful beam carved a disc out of a metal plate 100 μm thick, our entire lab huddled around, watching in amazement.

More Powerful PCSELs

As impressive as the steel-slicing demonstration was, PCSELs must be even more powerful to compete in the industrial marketplace. Manufacturing automobile parts, for instance, requires optical powers on the order of kilowatts.

It should be fairly straightforward to build a PCSEL that can handle that kind of power—either by assembling an array of nine 3-mm PCSELs or by expanding the emission area of our current device to 1 cm. At that size, higher-order modes would once again emerge, reducing the beam quality. But because they would still be as bright as high-power gas and fiber lasers, such kilowatt-class PCSELs could begin to usurp their bulkier competitors.

To be truly game-changing, 1-cm PCSELs would need to level up by suppressing those higher-order modes. We have already devised a way to do that by fine-tuning the photonic-crystal structure and the position of the reflector. Although we have not yet tested this new recipe in the lab, our theoretical models suggest that it could raise PCSEL brightness as high as 10 to 100 GW/cm2/sr. Just imagine the variety of unique and intricate products that could be made when such concentrated light can be wielded from a tiny package.

Especially for those high-power applications, we’ll need to improve the laser’s energy efficiency and thermal management. Even without any optimization, the “wall plug” efficiency of PCSELs is already at 30 to 40 percent, exceeding most carbon-dioxide and fiber lasers. What’s more, we’ve found a path we think could lead to 60 percent efficiency. And as for thermal management, the water-cooling technology we’re using in the lab today should be sufficient for a 1,000-W, 1-cm PCSEL.

High-brightness PCSELs could also be used to make smaller and more affordable sensor systems for self-driving cars and robots. Recently, we built a lidar system using a 500-μm PCSEL. Under pulsed operation, we ran it at about 20 W and got a terrifically bright beam. Even at 30 meters, the spot size was only 5 cm. Such high resolution is unheard of for a compact lidar system without external lenses. We then mounted our prototypes—which are roughly the size of a webcam—on robotic carts and programmed them to follow us and one another around the engineering building.

In a separate line of work, we have shown that PCSELs can emit multiple beams that can be controlled electronically to point in different directions. This on-chip beam steering is achieved by varying the position and size of the holes in the photonic-crystal layer. Ultimately, it could replace mechanical beam steering in lidar systems. If light detectors were also integrated on the same chip, these all-electronic navigation systems would be seriously miniature and low-cost.

Although it will be challenging, we eventually hope to make 3-cm lasers with output powers exceeding 10 kilowatts and beams shining up to 1,000 GW/cm2/sr—brighter than any laser that exists today. At such extreme brightness, PCSELs could replace the huge, electricity-hungry CO2 lasers used to generate plasma pulses for extreme ultraviolet lithography machines, making chip manufacturing much more efficient. They could similarly advance efforts to realize nuclear fusion, a process that involves firing trillions of watts of laser power at a pea-size fuel capsule. Exceptionally bright lasers also raise the possibility of light propulsion for spaceflight. Instead of taking thousands of years to reach faraway stars, a probe boosted by light could make the journey in only a few decades.

It may be a cliché, but we cannot think of a more apt prediction for the next chapter of human ingenuity: The future, as they say, is bright.

❌
❌