FreshRSS

Normální zobrazení

Jsou dostupné nové články, klikněte pro obnovení stránky.
PředevčíremHlavní kanál

EA Sports FC 24 leads next wave of Xbox and PC Game Pass games for June

18. Červen 2024 v 15:46

Microsoft has announced the next wave of games arriving on Xbox and PC Game Pass this June.

EA Sports FC 24 is the headline game, which arrives on 25th June as football fans enjoy the UEFA Euro 2024 tournament.

Before that, out today, is The Chinese Room's oil rig horror Still Wakes the Deep - a day one release across Xbox Series X/S, PC, and cloud.

Read more

  • ✇Semiconductor Engineering
  • Chip Industry Week In ReviewThe SE Staff
    Rapidus and IBM are jointly developing mass production capabilities for chiplet-based advanced packages. The collaboration builds on an existing agreement to develop 2nm process technology. Vanguard and NXP will jointly establish VisionPower Semiconductor Manufacturing Company (VSMC) in Singapore to build a $7.8 billion, 12-inch wafer plant. This is part of a global supply chain shift “Out of China, Out of Taiwan,” according to TrendForce. Alphawave joined forces with Arm to develop an advanced
     

Chip Industry Week In Review

7. Červen 2024 v 09:01

Rapidus and IBM are jointly developing mass production capabilities for chiplet-based advanced packages. The collaboration builds on an existing agreement to develop 2nm process technology.

Vanguard and NXP will jointly establish VisionPower Semiconductor Manufacturing Company (VSMC) in Singapore to build a $7.8 billion, 12-inch wafer plant. This is part of a global supply chain shift “Out of China, Out of Taiwan,” according to TrendForce.

Alphawave joined forces with Arm to develop an advanced chiplet based on Arm’s Neoverse Compute Subystems for AI/ML. The chiplet contains the Neoverse N3 CPU core cluster and Arm Coherent Mesh Network, and will be targeted at HPC in data centers, AI/ML applications, and 5G/6G infrastructure.

ElevATE Semiconductor and GlobalFoundries will partner for high-voltage chips to be produced at GF’s facility in Essex Junction, Vermont, which GF bought from IBM. The chips are essential for semiconductor testing equipment, aerospace, and defense systems.

NVIDIA, OpenAI, and Microsoft are under investigation by the U.S. Federal Trade Commission and Justice Department for violation of antitrust laws in the generative AI industry, according to the New York Times.

Quick links to more news:

Market Reports
Global
In-Depth
Education and Training
Security
Product News
Research
Events and Further Reading


Global

Apollo Global Management will invest $11 billion in Intel’s Fab 34 in Ireland, thereby acquiring a 49% stake in Intel’s Irish manufacturing operations.

imec and ASML opened their jointly run High-NA EUV Lithography Lab in Veldhoven, the Netherlands. The lab will be used to prepare  the next-generation litho for high-volume manufacturing, expected to begin in 2025 or 2026.

Expedera opened a new semiconductor IP design center in India. The location, the sixth of its kind for the company, is aimed at helping to make up for a shortfall in trained technicians, researchers, and engineers in the semiconductor sector.

Foxconn will build an advanced computing center in Taiwan with NVIDIA’s Blackwell platform at its core. The site will feature GB200 servers, which consist of 64 racks and 4,608 GPUs, and will be completed by 2026.

Intel and its 14 partner companies in Japan will use Sharp‘s LCD plants to research semiconductor production technology, a cost reduction move that should also produce income for Sharp, according to Nikkei Asia.

Japan is considering legislation to support the commercial production of advanced semiconductors, per Reuters.

Saudi Arabia aims to establish at least 50 semiconductor design companies as part of a new National Semiconductor Hub, funded with over $266 million.

Air Liquide is opening a new industrial gas production facility in Idaho, which will produce ultra-pure nitrogen and other gases for Micron’s new fab.

Microsoft will invest 33.7 billion Swedish crowns ($3.2 billion) to expand its cloud and AI infrastructure in Sweden over a two-year period, reports Bloomberg. The company also will invest $1 billion to establish a new data center in northwest Indiana.

AI data centers could consume as much as 9.1% of the electricity generated in the U.S. by 2030, according to a white paper published by the Electric Power Research Institute. That would more than double the electricity currently consumed by data centers, though EPRI notes this is a worst case scenario and advances in efficiency could be a mitigating factor.


Markets and Money

The Semiconductor Industry Association (SIA) announced global semiconductor sales increased 15.8% year-over-year in April, and the group projected a market growth of 16% in 2024. Conversely, global semiconductor equipment billings contracted 2% year-over-year to US$26.4 billion in Q1 2024, while quarter-over-quarter billings dropped 6% during the same period, according to SEMI‘s Worldwide Semiconductor Equipment Market Statistics (WWSEMS) Report.

Cadence completed its acquisition of BETA CAE Systems International, a provider of multi-domain, engineering simulation solutions.

Cisco‘s investment arm launched a $1 billion fund to aid AI startups as part of its AI innovation strategy. Nearly $200 million has already been earmarked.

The power and RF GaN markets will grow beyond US$2.45 billion and US$1.9 billion in 2029, respectively, according to Yole, which is offering a webinar on the topic.

The micro LED chip market is predicted to reach $580 million by 2028, driven by head-mounted devices and automotive applications, according to TrendForce. The cost of Micro LED chips may eventually come down due to size miniaturization.


In-Depth

Semiconductor Engineering published its Automotive, Security, and Pervasive Computing newsletter this week, featuring these top stories:

More reporting this week:


Security

Scott Best, Rambus senior director of Silicon Security Products, delivered a keynote at the Hardwear.io conference this week (below), detailing a $60 billion reverse engineering threat for hardware in just three markets — $30 billion for printer consumables, $20 billion for rechargeable batteries with some type of authentication, and $10 billion for medical devices such as sonogram probes.


Photo source: Ed Sperling/Semiconductor Engineering

wolfSSL debuted wolfHSM for automotive hardware security modules, with its cryptographic library ported to run in automotive HSMs like Infineon’s Aurix Tricore TC3XX.

Cisco integrated AMD Pensando data processing units (DPUs) with its Hypershield security architecture for defending AI-scale data centers.

OMNIVISION released an intelligent CMOS image sensor for human presence detection, infrared facial authentication, and always-on technology with a single sensing camera. And two new image sensors for industrial and consumer security surveillance cameras.

Digital Catapult announced a new cohort of companies will join Digital Security by Design’s Technology Access Program, gaining access to an Arm Morello prototype evaluation hardware kit based on Capability Hardware Enhanced RISC Instructions (CHERI), to find applications across critical UK sectors.

University of Southampton researchers used formal verification to evaluate the hardware reliability of a RISC-V ibex core in the presence of soft errors.

Several institutions published their students’ master’s and PhD work:

  • Virginia Tech published a dissertation proposing sPACtre, a defense mechanism that aims to prevent Spectre control-flow attacks on existing hardware.
  • Wright State University published a thesis proposing an approach that uses various machine learning models to bring an improvement in hardware Trojan identification with power signal side channel analysis
  • Wright State University published a thesis examining the effect of aging on the reliability of SRAM PUFs used for secure and trusted microelectronics IC applications.
  • Nanyang Technological University published a Final Year Project proposing a novel SAT-based circuit preprocessing attack based on the concept of logic cones to enhance the efficacy of SAT attacks on complex circuits like multipliers.

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Education and Training

Renesas and the Indian Institute of Technology Hyderabad (IIT Hyderabad) signed a three-year MoU to collaborate on VLSI and embedded semiconductor systems, with a focus on R&D and academic interactions to advance the “Make in India” strategy.

Charlie Parker, senior machine learning engineer at Tignis, presented a talk on “Why Every Fab Should Be Using AI.

Penn State and the National Sun Yat-Sen University (NSYSU) in Taiwan partnered to develop educational and research programs focused on semiconductors and photonics.

Rapidus and Hokkaido University partnered on education and research to enhance Japan’s scientific and technological capabilities and develop human resources for the semiconductor industry.

The University of Minnesota named Steve Koester its first “Chief Semiconductor Officer,” and launched a website devoted to semiconductor and microelectronics research and education.

The state of Michigan invested $10 million toward semiconductor workforce development.


Product News

Siemens reported breakthroughs in high-level C++ verification that will be used in conjunction with its Catapult software. Designers will be able to use formal property checking via the Catapult Formal Assert software and reachability coverage analysis through Catapult Formal CoverCheck.

Infineon released several products:

Augmental, an MIT Media Lab spinoff, released a tongue-based computer controller, dubbed the MouthPad.

NVIDIA revealed a new line of products that will form the basis of next-gen AI data centers. Along with partners ASRock Rack, ASUS, GIGABYTE, Ingrasys, and others, the NVIDIA GPUs and networking tech will offer cloud, on-premises, embedded, and edge AI systems. NVIDIA founder and CEO Jensen Huang showed off the company’s upcoming Rubin platform, which will succeed its current Blackwell platform. The new system will feature new GPUs, an Arm-based CPU and advanced networking with NVLink 6, CX9 SuperNIC and X1600 converged InfiniBand/Ethernet switch.

Intel showed off its Xeon 6 processors at Computex 2024. The company also unveiled architectural details for its Lunar Lake client computing processor, which will use 40% less SoC power, as well as a new NPU, and X2 graphic processing unit cores for gaming.


Research

imec released a roadmap for superconducting digital technology to revolutionize AI/ML.

CEA-Leti reported breakthroughs in three projects it considers key to the next generation of CMOS image sensors. The projects involved embedding AI in the CIS and stacking multiple dies to create 3D architectures.

Researchers from MIT’s Computer Science & Artificial Intelligence Laboratory (MIT-CSAIL) used a type of generative AI, known as diffusion models, to train multi-purpose robots, and designed the Grasping Neural Process for more intelligent robotic grasping.

IBM and Pasqal partnered to develop a common approach to quantum-centric supercomputing and to promote application research in chemistry and materials science.

Stanford University and Q-NEXT researchers investigated diamond to find the source of its temperamental nature when it comes to emitting quantum signals.

TU Wien researchers investigated how AI categorizes images.

In Canada:

  • Simon Fraser University received funding of over $80 million from various sources to upgrade the supercomputing facility at the Cedar National Host Site.
  • The Digital Research Alliance of Canada announced $10.28 million to renew the University of Victoria’s Arbutus cloud infrastructure.
  • The Canadian government invested $18.4 million in quantum research at the University of Waterloo.

Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
SNUG Europe: Synopsys User Group Jun 10 – 11 Munich
IEEE RAS in Data Centers Summit: Reliability, Availability and Serviceability Jun 11 – 12 Santa Clara, CA
AI for Semiconductors (MEPTEC) Jun 12 – 13 Online
3D & Systems Summit Jun 12 – 14 Dresden, Germany
PCI-SIG Developers Conference Jun 12 – 13 Santa Clara, CA
Standards for Chiplet Design with 3DIC Packaging (Part 1) Jun 14 Online
AI Hardware and Edge AI Summit: Europe Jun 18 – 19 London, UK
Standards for Chiplet Design with 3DIC Packaging (Part 2) Jun 21 Online
DAC 2024 Jun 23 – 27 San Francisco
RISC-V Summit Europe 2024 Jun 24 – 28 Munich
Leti Innovation Days 2024 Jun 25 – 27 Grenoble, France
Find All Upcoming Events Here

Upcoming webinars are here.


Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials

 

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

  • ✇Eurogamer.net
  • EA Sports FC players angry after weekend rewards of top footballers nerfedEd Nightingale
    Fans of EA Sports FC have responded angrily after EA altered a perceived issue with FUT rewards providing "unintended results". As part of the game's Weekend League, players have a chance to win special versions of certain players, which this past weekend included Kylian Mbappe who's considered the best player in the game. EA doesn't release the odds of receiving specific players, but Mbappe and other top players appeared to be cropping up more often than usual at the start of the weekend.
     

EA Sports FC players angry after weekend rewards of top footballers nerfed

13. Květen 2024 v 13:24

Fans of EA Sports FC have responded angrily after EA altered a perceived issue with FUT rewards providing "unintended results".

As part of the game's Weekend League, players have a chance to win special versions of certain players, which this past weekend included Kylian Mbappe who's considered the best player in the game.

EA doesn't release the odds of receiving specific players, but Mbappe and other top players appeared to be cropping up more often than usual at the start of the weekend.

Read more

  • ✇Latest
  • World War War III May Already Have Started—in the ShadowsJ.D. Tuccille
    Britain's signals intelligence spy chief raised eyebrows this week with warnings that Russia is coordinating both cyberattacks and physical acts of sabotage against the West. There's evidence to back her claims—and the West may be returning the favor. Coming soon after FBI Director Christopher Wray warned that China is targeting American infrastructure, it looks like the world is not only fracturing once again, but that the hostile blocs are enga
     

World War War III May Already Have Started—in the Shadows

17. Květen 2024 v 13:00
Russian President Vladimir Putin is seen at a military parade | Kommersant Photo Agency/Kommersant/Newscom

Britain's signals intelligence spy chief raised eyebrows this week with warnings that Russia is coordinating both cyberattacks and physical acts of sabotage against the West. There's evidence to back her claims—and the West may be returning the favor. Coming soon after FBI Director Christopher Wray warned that China is targeting American infrastructure, it looks like the world is not only fracturing once again, but that the hostile blocs are engaged in covert warfare.

Rumors of War

"We are increasingly concerned about growing links between the Russian intelligence services and proxy groups to conduct cyberattacks as well as suspected physical surveillance and sabotage operations," Government Communications Headquarters (GCHQ) Director Anne Keast-Butler told an audience at the United Kingdom government-sponsored CyberUK 2024 conference. "Before, Russia simply created the right environments for these groups to operate, but now they are nurturing and inspiring these non-state cyber actors in some cases seemingly coordinating physical attacks against the West."

Keast-Butler, whose agency is comparable to the U.S. National Security Agency (NSA), also called out China, Iran, and North Korea as cybersecurity dangers. But naming Russian officials as being behind "physical attacks" raises the stakes. Sadly, her claims are well-founded.

Sabotage, Espionage, and Other Mischief

"A 20-year-old British man has been charged with masterminding an arson plot against a Ukrainian-linked target in London for the benefit of the Russian state," CBS News reported last month. That wasn't an isolated incident.

"In April alone a clutch of alleged pro-Russian saboteurs were detained across the continent," The Economist noted May 12 in describing what it called a "shadow war" between East and West. "Germany arrested two German-Russian dual nationals on suspicion of plotting attacks on American military facilities and other targets on behalf of the GRU, Russia's military intelligence agency. Poland arrested a man who was preparing to pass the GRU information on Rzeszow airport, the most important hub for military aid to Ukraine. Britain charged several men over an earlier arson attack in March on a Ukrainian-owned logistics firm in London whose Spanish depot was also targeted."

The GCHQ chief's warnings coupled with reality on the ground are alarming in themselves. Worse, they come after FBI Director Christopher Wray issued similar cautions in April about China.

"The PRC [People's Republic of China] has made it clear that it considers every sector that makes our society run as fair game in its bid to dominate on the world stage, and that its plan is to land low blows against civilian infrastructure to try to induce panic and break America's will to resist," Wray told the Vanderbilt Summit on Modern Conflict and Emerging Threats in Nashville, Tennessee.

Wray clarified that, by "infrastructure," he meant "everything from water treatment facilities and energy grids to transportation and information technology."

If that doesn't make you want to check that your pantry is stocked and that the water filter and generator are in working order, nothing will.

A Game Both Sides Can Play

Of course, in war of any sort, the implication is that both sides are involved in conflict. Western intelligence officials are loud in their warnings about foreign threats, but less open regarding just what their own operatives might be doing in Russia, China, and elsewhere. Still, there's evidence that this is hardly a one-sided war, shadowy though it may be.

In June 2022, The New York Times reported that Ukraine's defensive efforts relied heavily on "a stealthy network of commandos and spies rushing to provide weapons, intelligence and training." In addition to Americans, the story noted, "commandos from other NATO countries, including Britain, France, Canada and Lithuania, also have been working inside Ukraine."

American journalist and combat veteran Jack Murphy goes further, claiming the CIA, working through an allied spy service "is responsible for many of the unexplained explosions and other mishaps that have befallen the Russian military industrial complex." The targets include "railway bridges, fuel depots and power plants," he adds.

And if you wonder who blew up Nord Stream 1 and 2, well, so do a lot of people. Russia was initially accused, but it didn't make a lot of sense for the country's forces to destroy pipelines that generated revenue and fed western dependence on Russian natural gas. Since then, Denmark and Sweden have closed inconclusive investigations, journalist Seymour Hersh blamed American officials, and a report by Der Spiegel and The Washington Post placed responsibility on a rogue Ukrainian military officer.

The Wider War Is Here

Taken all together, the warnings from Keast-Butler and Wray, as well as acts of sabotage and arrests of foreign agents suggest that fears of a wider war resulting from Russia's continuing invasion of Ukraine may miss the point; the war could already be here. People looking for tanks and troops are overlooking cyber intrusions, arson, bombings, and other low-level mayhem.

"Russia is definitely at war with the West," Oleksandr Danylyuk of the Royal United Services Institute, a British defense and security think tank, told NBC News earlier this week.

Russian officials seem to embrace that understanding, with Kremlin spokesman Dmitry Peskov commenting in March that the invasion of Ukraine, originally referred to by the euphemism "special military operation," is now more serious. "It has become a war for us as the collective West more and more directly increases its level of involvement in the conflict," he said.

Fortunately, a shadow war of the sort around us is less destructive than open military conflict, especially when the hostilities involve nuclear-armed powers. It's far better that spies hack the email accounts of government officials, as happened in the case of a Russian cyberattack on Germany's ruling Social Democrats, than that cities burn. But civilians still must live with the consequences of combatants attempting to do each other harm—particularly when the harm is to infrastructure on which regular people rely.

So, welcome to the world of global shadow war. Try to not become collateral damage.

The post World War War III May Already Have Started—in the Shadows appeared first on Reason.com.

  • ✇Techdirt
  • Link Taxes Backfire: Canadian News Outlets Lose Out, Meta UnscathedMike Masnick
    As California (and possibly Congress) are, again, revisiting instituting link taxes in the US, it’s worth highlighting that our prediction about the Canadian link tax has now been shown to be correct. It didn’t harm Meta one bit to remove news. The entire premise behind these link taxes/bargaining codes is that social media gets “so much free value” from news orgs, that they must pay up. Indeed, a ridiculously bad study that came out last fall, and was widely passed around, that argued that Goog
     

Link Taxes Backfire: Canadian News Outlets Lose Out, Meta Unscathed

9. Květen 2024 v 22:16

As California (and possibly Congress) are, again, revisiting instituting link taxes in the US, it’s worth highlighting that our prediction about the Canadian link tax has now been shown to be correct. It didn’t harm Meta one bit to remove news.

The entire premise behind these link taxes/bargaining codes is that social media gets “so much free value” from news orgs, that they must pay up. Indeed, a ridiculously bad study that came out last fall, and was widely passed around, that argued that Google and Meta had stripped $14 billion worth of value from news orgs and should offer to pay up that amount.

$14 billion. With a “b.”

No one, who understands anything, believes that’s true. Again, social media is not taking value away from news orgs. It’s giving them free distribution and free circulation, things that, historically, cost media organizations a ton of money.

But, now a study, in Canada is proving that social media companies get basically zero value from news links. Meta, somewhat famously, blocked links to news in Canada in response to that country’s link tax. This sent many observers into a tizzy, claiming that it was somehow both unfair for Meta to link to news orgs AND to not link to news orgs.

Yes, media organizations are struggling. Yes, the problems facing the news industry are incredibly important to solve to help protect democracy. Yes, we should be thinking and talking about creative solutions for funding.

But, taxing links to force internet companies to pay media companies is simply a terrible solution.

Thanks to Meta , not giving in to Canada and blocking links to news, we now have some data on what happens when a link tax approach is put in place. Some new research from McGill University and the University of Toronto has found that Meta didn’t lose very much engagement from a lack of news links. But media orgs lost out big time.

Laura Hazard Owen has a good summary at Nieman Lab.

“We expected the disappearance of news on Meta platforms to have caused a major shock to the Canadian information ecosystem,” the paper’s authors — Sara Parker, Saewon Park, Zeynep Pehlivan, Alexei Abrahams, Mika Desblancs, Taylor Owen, Jennie Phillips, and Aengus Bridgman — write. But the shock appears to have been one-sided. While “the ban has significantly impacted Canadian news outlets,” the authors write, “Meta has deprived users of the affordance of news sharing without suffering any loss in engagement of their user base.”

What the researchers found is that users are still using Meta platforms just as much, and still getting news from those platforms. They’re just no longer following links back to the sources. This has done particular harm to smaller local news organizations:

This remarkable stability in Meta platform users’ continued use of the platforms for politics and current affairs anticipates the findings from the detailed investigation into engagement and posting behaviour of Canadians. We find that the ban has significantly impacted Canadian news outlets but had little impact on Canadian user behaviour. Consistent with the ban’s goal, we find a precipitous decline in engagement with Canadian news and consequently the posting of news content by Canadian news outlets. The effect is particularly acute for local news outlets, while some news outlets with national or international scope have been able to make a partial recovery after a few months. Additionally, posting by and engagement with alternative sources of information about Canadian current affairs appears unmoved by the ban. We further find that Groups focused on Canadian politics enjoy the same frequency of posting and diversity of engagement after the ban as before. While link sharing declines, we document a complementary uptick in the sharing of screenshots of Canadian news in a sample of these political Groups, and confirm by reviewing a number of such posts where users deliberately circumvented the link-sharing ban by posting screenshots. Although the screenshots do not compensate for the total loss of link sharing, the screenshots themselves garner the same total amount of engagement as news links previously had.

I feel like I need to keep pointing this out, but: when you tax something, you get less of it. Canada has decided to tax news links, so they get fewer news links. But users still want to talk about news, so they’re replacing the links with screenshots and discussions. And it’s pretty impressive how quickly users switched over:

Image

Meaning the only one losing out here are the news publishers themselves who claimed to have wanted this law so badly.

The impact on Canadian news orgs appears to be quite dramatic:

Image

But the activity on Meta platform groups dedicated to news doesn’t seem to have changed that much:

Image

If “news links” were so valuable to Meta, then, um, wouldn’t that have declined once Meta blocked links?

One somewhat incredible finding in the paper is that “misinformation” links also declined after Meta banned news links:

Surprisingly, the number of misinformation links in political and local community Groups decreased after the ban.

Political Groups:

  • Prior to the ban: 2.8% of links (5612 out of 198,587 links) were misinformation links
  • After the ban: 1.4% of links (5306 out of 379,202 links) were misinformation links

Though the paper admits that this could just be a function of users recognizing they can’t share links.

This is still quite early research, but it is notable, especially given that the US continues to push for this kind of law as well. Maybe, just maybe, we should take a step back and recognize that taxing links is not helpful for news orgs and misunderstands the overall issue.

It’s becoming increasingly clear that the approach taken by Canada and other countries to force platforms like Meta to pay for news links is misguided and counterproductive. These laws are reducing the reach and engagement of news organizations while doing little to address the underlying challenges facing the industry. Instead of helping news organizations, these laws are having the opposite effect. Policymakers need to take a more nuanced and evidence-based approach that recognizes the complex dynamics of the online news ecosystem.

  • ✇Eurogamer.net
  • Tunic leads PlayStation Plus Essential monthly games for MayEd Nightingale
    PlayStation has revealed its four free monthly games for PlayStation Plus Essential subscribers this month, which will be available from 7th May. Zelda-like adventure Tunic leads the pack across PS4 and PS5, though don't let the cute visuals fool you - this is a tricky puzzler full of hidden secrets. May's full list of PlayStation Plus Essential games lies below: Read more
     

Tunic leads PlayStation Plus Essential monthly games for May

1. Květen 2024 v 17:58

PlayStation has revealed its four free monthly games for PlayStation Plus Essential subscribers this month, which will be available from 7th May.

Zelda-like adventure Tunic leads the pack across PS4 and PS5, though don't let the cute visuals fool you - this is a tricky puzzler full of hidden secrets.

May's full list of PlayStation Plus Essential games lies below:

Read more

  • ✇Semiconductor Engineering
  • Chip Industry Week In ReviewThe SE Staff
    Samsung and Synopsys collaborated on the first production tapeout of a high-performance mobile SoC design, including CPUs and GPUs, using the Synopsys.ai EDA suite on Samsung Foundry’s gate-all-around (GAA) process. Samsung plans to begin mass production of 2nm process GAA chips in 2025, reports BusinessKorea. UMC developed the first radio frequency silicon on insulator (RF-SOI)-based 3D IC process for chips used in smartphones and other 5G/6G mobile devices. The process uses wafer-to-wafer bond
     

Chip Industry Week In Review

3. Květen 2024 v 09:01

Samsung and Synopsys collaborated on the first production tapeout of a high-performance mobile SoC design, including CPUs and GPUs, using the Synopsys.ai EDA suite on Samsung Foundry’s gate-all-around (GAA) process. Samsung plans to begin mass production of 2nm process GAA chips in 2025, reports BusinessKorea.

UMC developed the first radio frequency silicon on insulator (RF-SOI)-based 3D IC process for chips used in smartphones and other 5G/6G mobile devices. The process uses wafer-to-wafer bonding technology to address radio frequency interference between stacked dies and reduces die size by 45%.

Fig. 1: UMC’s 3D IC solution for RFSOI technology. Source: UMC

The first programmable chip capable of shaping, splitting, and steering beams of light is now being produced by Skywater Technology and Lumotive. The technology is critical for advancing lidar-based systems used in robotics, automotive, and other 3D sensing applications.

Driven by demand for AI chips, SK hynix revealed it has already booked its entire production of high-bandwidth memory chips for 2024 and is nearly sold out of its production capacity for 2025, reported the Korea Times, while SEMI reported that silicon wafer shipments declined in Q1 2024, quarter over quarter, a 13% drop, attributed to continued weakness in IC fab utilization and inventory adjustments.

PCI-SIG published the CopprLink Internal and External Cable specifications to provide PCIe 5.0 and 6.0 signaling at 32 and 64 GT/s and leverage standard connector form factors for applications including storage, data centers, AI/ML, and disaggregated memory.

The U.S. Department of Commerce (DoC) launched the CHIPS Women in Construction Framework to boost the participation of women and economically disadvantaged people in the workforce, aiming to support on-time and successful completion of CHIPS Act-funded projects. Intel and Micron adopted the framework.

Quick links to more news:

Market Reports
Global
In-Depth
Education and Training
Security
Product News
Quantum
Research
Events
Further Reading


Markets and Money

The SiC wafer processing equipment market is growing rapidly, reports Yole. SiC devices will exceed $10B by 2029 at a CAGR of 25%, and the SiC manufacturing tool market is projected to reach $5B by 2026.

imec.xpand launched a €300 million (~$321 million) fund that will invest in semiconductor and nanotechnology startups with the potential to push semiconductor innovation beyond traditional applications and drive next-gen technologies.

Blaize raised $106 million for its programmable graph streaming processor architecture suite and low-code/no-code software platform for edge AI.

Guerrilla RF completed the acquisition of Gallium Semiconductor‘s portfolio of GaN power amplifiers and front-end modules.

About 90% of connected cars sold in 2030 will have embedded 5G capability, reported Counterpoint. Also, about 75% of laptop PCs sold in 2027 will be AI laptop PCs with advanced generative AI, and the global high-level OS (HLOS) or advanced smartwatch market is predicted to grow 15% in 2024.


Global

Powerchip Semiconductor opened a new 300mm facility in northwestern Taiwan targeting the production of AI semiconductors. The facility is expected to produce 50,000 wafers per month at 55, 40, and 28nm nodes.

Taiwan-based KYEC Semiconductor will withdraw its China operations by the third quarter due to increasing geopolitical tensions, reports the South China Morning Post.

Japan will expand its semiconductor export restrictions to China related to four technologies: Scanning electron microscopes, CMOS, FD-SOI, and the outputs of quantum computers, according to TrendForce.

IBM will invest CAD$187 million (~US$137M in Canada’s semiconductor industry, with the bulk of the investment focused on advanced assembly, testing, and packaging operations.

Microsoft will invest US$2.2 billion over the next four years to build Malaysia’s digital infrastructure, create AI skilling opportunities, establish an AI Center of Excellence, and enhance cybersecurity.


In-Depth

New stories and tech talks published by Semiconductor Engineering this week:


Security

Infineon collaborated with ETAS to integrate the ESCRYPT CycurHSM 3.x automotive security software stack into its next-gen AURIX MCUs to optimize security, performance, and functionality.

Synopsys released Polaris Assist, an AI-powered application security assistant on its Polaris Software Integrity Platform, combining LLM technology with application security knowledge and intelligence.

In security research:

U.S. President Biden signed a National Security Memorandum to enhance the resilience of critical infrastructure, and the White House announced key actions taken since Biden’s AI Executive Order, including measures to mitigate risk.

CISA and partners published a fact sheet on pro-Russia hacktivists who seek to compromise industrial control systems and small-scale operational technology systems in North American and European critical infrastructure sectors. CISA issued other alerts including two Microsoft vulnerabilities.


Education and Training

The U.S. National Institute for Innovation and Technology (NIIT) and the Department of Labor (DoL) partnered to celebrate the inaugural Youth Apprenticeship Week on May 5 to 11, highlighting opportunities in critical industries such as semiconductors and advanced manufacturing.

SUNY Poly received an additional $4 million from New York State for its Semiconductor Processing to Packaging Research, Education, and Training Center.

The University of Pennsylvania launched an online Master of Science in Engineering in AI degree.

The American University of Armenia celebrated its 10-year collaboration with Siemens, which provides AUA’s Engineering Research Center with annual research grants.


Product News

Renesas and SEGGER Embedded Studio launched integrated code generator support for its 32-bit RISC-V MCU. 

Rambus introduced a family of DDR5 server Power Management ICs (PMICs), including an extreme current device for high-performance applications.

Fig. 2: Rambus’ server PMIC on DDR5 RDIMM. Source: Rambus

Keysight added capabilities to Inspector, part of the company’s recently acquired device security research and test lab Riscure, that are designed to test the robustness of post-quantum cryptography (PQC) and help device and chip vendors identify and fix hardware vulnerabilities. Keysight also validated new conformance test cases for narrowband IoT non-terrestrial networks standards.

Ansys’ RedHawk-SC and Totem power integrity platforms were certified for TSMC‘s N2 nanosheet-based process technology, while its RaptorX solution for on-chip electromagnetic modeling was certified for TSMC’s N5 process.

Netherlands-based athleisure brand PREMIUM INC selected CLEVR to implement Siemens’ Mendix Digital Lifecycle Management for Fashion & Retail solution.

Micron will begin shipping high-capacity DRAM for AI data centers.

Microchip uncorked radiation-tolerant SoC FPGAs for space applications that uses a real-time Linux-capable RISC-V-based microprocessor subsystem.


Quantum

University of Chicago researchers developed a system to boost the efficiency of quantum error correction using a framework based on quantum low-density party-check (qLDPC) codes and new hardware involving reconfigurable atom arrays.

PsiQuantum will receive AUD $940 million (~$620 million) in equity, grants, and loans from the Australian and Queensland governments to deploy a utility-scale quantum computer in the regime of 1 million physical qubits in Brisbane, Australia.

Japan-based RIKEN will co-locate IBM’s Quantum System Two with its Fugaku supercomputer for integrated quantum-classical workflows in a heterogeneous quantum-HPC hybrid computing environment. Fugaku is currently one of the world’s most powerful supercomputers.

QuEra Computing was awarded a ¥6.5 billion (~$41 million) contract by Japan’s National Institute of Advanced Industrial Science and Technology (AIST) to deliver a gate-based neutral-atom quantum computer alongside AIST’s ABCI-Q supercomputer as part of a quantum-classical computing platform.

Novo Holdings, the controlling stakeholder of pharmaceutical company Novo Nordisk, plans to boost the quantum technology startup ecosystem in Denmark with DKK 1.4 billion (~$201 million) in investments.

The University of Sydney received AUD $18.4 million (~$12 million) from the Australian government to help grow the quantum industry and ecosystem.

The European Commission plans to spend €112 million (~$120 million) to support AI and quantum research and innovation.


Research

Intel researchers developed a 300-millimeter cryogenic probing process to collect high-volume data on the performance of silicon spin qubit devices across whole wafers using CMOS manufacturing techniques.

EPFL researchers used a form of ML called deep reinforcement learning (DRL) to train a four-legged robot to avoid falls by switching between walking, trotting, and pronking.=

The University of Cambridge researchers developed tiny, flexible nerve cuff devices that can wrap around individual nerve fibers without damaging them, useful to treat a range of neurological disorders.

Argonne National Laboratory and Toyota are exploring a direct recycling approach that carefully extracts components from spent batteries. Argonne is also working with Talon Metals on a process that could increase the number of EV batteries produced from mined nickel ore.


Events

Find upcoming chip industry events here, including:

Event Date Location
IEEE International Symposium on Hardware Oriented Security and Trust (HOST) May 6 – 9 Washington DC
MRS Spring Meeting & Exhibit May 7 – 9 Virtual
ASMC: Advanced Semiconductor Manufacturing Conference May 13 – 16 Albany, NY
ISES Taiwan 2024: International Semiconductor Executive Summit May 14 – 15 New Taipei City
Ansys Simulation World 2024 May 14 – 16 Online
NI Connect Austin 2024 May 20 – 22 Austin, Texas
ITF World 2024 (imec) May 21 – 22 Antwerp, Belgium
Embedded Vision Summit May 21 – 23 Santa Clara, CA
ASIP Virtual Seminar 2024 May 22 Online
Electronic Components and Technology Conference (ECTC) 2024 May 28 – 31 Denver, Colorado
Hardwear.io Security Trainings and Conference USA 2024 May 28 – Jun 1 Santa Clara, CA
Find All Upcoming Events Here

Upcoming webinars are here.


Further Reading

Read the latest special reports and top stories, or check out the latest newsletters:

Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials
Automotive, Security and Pervasive Computing

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

  • ✇Eurogamer.net
  • EA Sports FC 24's Team of the Season now liveVikki Blake
    EA Sports FC 24's Team of the Season (TOTS) is now live.Designed to celebrate the "best and brightest players in the world", EA Sports FC 24 marks "an incredible season of global football" which, for the very first time, will "recognise the most deserving players in women's football", too.Whilst a long-standing staple in FIFA, this is the first time TOTS has come to EA Sports FC 24. Read more
     

EA Sports FC 24's Team of the Season now live

21. Duben 2024 v 17:33

EA Sports FC 24's Team of the Season (TOTS) is now live.

Designed to celebrate the "best and brightest players in the world", EA Sports FC 24 marks "an incredible season of global football" which, for the very first time, will "recognise the most deserving players in women's football", too.

Whilst a long-standing staple in FIFA, this is the first time TOTS has come to EA Sports FC 24.

Read more

RCMP All Pissed Off A Private Business Told It To Get A Warrant If It Wanted A Copy Of Parking Lot Camera Footage

8. Březen 2024 v 20:03

Say what you will about the general politeness of Canadians and the genteel nature of their secondhand Britishness, but never forget their cops can be just as petty and vindictive as our cops.

Law enforcement entities everywhere have a massive sense of entitlement. Officers and officials tend to think that people should comply with whatever they say, never question any assertions they make, and give them whatever they ask for without providing the proper paperwork.

The fact is that people aren’t obliged to give cops things they’re only supposed to be able to obtain with warrants. Voluntary consent eliminates this obligation, and that’s fine as long as it’s actual informed consent.

But cops tend to get all shitty when they’re unable to obtain stuff without warrants. Rejected requests for consent are often treated as inherently suspicious. Reluctance to cooperate (without the existence of court orders compelling more) is viewed as obstruction and, sometimes, results in criminal charges (or at least an arrest) even when the person being badgered by cops is completely in the right.

This report of a Canadian bar’s refusal to voluntarily relinquish its parking lot recordings contains plenty of statements from the Royal Canadian Mounted Police and others in the law enforcement field. And every single statement makes it clear Canadian law enforcement believes they’re owed whatever evidence might be available and should never be inconvenienced (even momentarily) by demands officers go get a warrant they could easily obtain within minutes.

A shooting happened outside of the Cactus Club Cafe and the RCMP asked anyone in the area to come forward with any recordings they might have of the area the shooting took place in. The RCMP approached the club and was told it needed to obtain a warrant if it wanted copies of the club’s parking lot footage.

As the owners of the restaurant chain pointed out in its statement to Canada’s Global News, this is standard operating procedure for the company.

“The process of requesting a production order before releasing surveillance footage is a standard practice put in place across all of our locations. This protects privacy and ensures we’re following the law.”

Which is, of course, the way it should be. The company should comply with court orders but it should not feel obligated to hand over footage obtained by its cameras without one.

Everyone else — including the national association representing bars and restaurants — appears to feel the Cactus Club is in the wrong.

“The general protocol is for people to give up, not just restaurants, but people to give up video to help and assist the police in a manner that’s fairly quick,” said president and CEO Ian Tostenson.

Totenson heads up British Columbia’s Restaurant and Food Service Association. But rather than advocate for the rights of the private companies he represents, he has chosen to present the Cactus Club as some sort of scofflaw, even if all it did was ask to see a warrant before handing over recordings that can only be obtained with a warrant or consent.

That demand for the proper paperwork was apparently a first for the RCMP, which seemingly feels it shouldn’t need to seek warrants when there’s [checks article again] suspected criminal activity occurring. Here’s just one of the statements made by British Columbia’s “visibly upset” public safety minister.

“It’s the first time it’s crossed my desk that there has been a refusal to initially comply with police request for video,” Mike Farnworth told Global News in an interview Tuesday.

Well, that’s a shame. Too many private entities are being far too compliant. There’s no legal obligation to consent to warrantless searches of any private property, including recordings created with privately-owned cameras. Just because most people turn over footage voluntarily doesn’t make the Cactus Club wrong. It just means most people don’t care about their rights, much less the precedent they’re inadvertently setting — the sort of low bar that ensures law enforcement officers will be easily offended (and pettily vindictive) the moment anyone provides the least bit of (explicitly legal!) resistance.

And it’s not just the RCMP. It’s also the mayor of Coquitlam, where this particular club is located.

“For a local business to insist that the RCMP get a warrant for information that they might have that could lead to an arrest is outrageous…” 

It definitely is not “outrageous.” It’s exactly within their well-established rights. The RCMP has an obligation to obtain consent or a warrant. It failed to get consent. It did, as the article notes, secure a warrant and the footage investigators were seeking. Everything worked out. And one would logically assume it didn’t take much to secure the warrant, considering the strong likelihood the restaurant’s cameras captured footage of the shooting.

So, why all the shouting? Well, it appears that everyone from the RCMP official to the mayor to the head of a private retail association believes cops should never have to get a warrant when investigating crimes. Holy shit, what a statement to make, even implicitly.

And somehow, it gets even worse. The club’s decision to exercise its rights has been met with explicit retaliation by the BC government. Here’s more from the BC public safety minister, who apparently sees nothing wrong with punishing a company for asking to see a warrant:

In the most recent case, Farnworth said the province has since amended the terms and conditions of the Barnet Highway Cactus Club’s liquor licence.

“They must have video surveillance and they must provide it to the police or a liquor inspector upon request,” said the public safety minister.

A warrant is a “request,” you fool. And yet, this entity has decided to “amend” a liquor license of this one club to force it to comply with warrantless demands for private camera footage — something that clearly falls outside of its legal obligations. But now, it’s the law of land — a law that now explicitly singles out a single business with a compelled compliance mandate.

Hopefully, the Cactus Club will sue. This is clearly retaliatory. It’s now subject to mandates that don’t apply to other liquor license holders in British Columbia… just the one that did nothing more than ask the RCMP to respect its rights as a private business.

Great Moments in Unintended Consequences: Road Noise Meters, San Francisco Red State Boycott, and Pennsylvania's Political Cartoon Ban (Vol. 15)

8. Březen 2024 v 16:30
Unintended Consequences with San Francisco contract, Edmonton noise level display, and a cartoon of Gov. Pennypacker as a parrot. | Reason TV

Great moments in unintended consequences—when something that sounds like a great idea goes horribly wrong. Watch the whole series.

Part 1: Game Engine

The year: 2018

The problem: Too many loud vehicles in the city of Edmonton!

The solution: Erect sound monitoring display boards in various locations in the city, alerting motorists if they are exceeding the 85-decibel level limit by displaying their current noise level.

Sounds like a great idea, with the best of intentions. What could possibly go wrong?

Turns out games are fun! Since the display board went up as part of a pilot program with no accompanying enforcement mechanism, competitive motorists used the scoreboards… er, displays…to see just how loud they could get. As revving engines increased, so did noise complaints. Within weeks the city reversed course and turned off the displays.

Looks like cars aren't the only things that backfire.

Part 2: I Left My Smart in San Francisco

The year: 2016

The problem: States are passing laws San Francisco doesn't like!

The solution: Pressure them to change by prohibiting any city contracts with companies headquartered in states that don't share San Francisco's values.

Sounds like a great idea, with the best of intentions. What could possibly go wrong?

Turns out, competition drives down prices! With limited bidding options, public project costs ballooned by around 20 percent according to city administrators. The ban also created additional bureaucratic costs, totaling nearly half a million dollars in staffing expenses alone, and made it difficult to support like-minded businesses in verboten states.

More and more waivers and exemptions were granted as the list of covered states grew from 4 to 30, which should have been a clue that these expensive pressure tactics weren't exactly changing hearts and minds. In 2023, the city trashed the bans, probably in a very expensive trash can.

Part 3: Tooned Up

The Year: 1903

The Problem: Cartoonists keep depicting Pennsylvania politician Samuel Pennypacker as a parrot!

The Solution: Introduce a bill banning any cartoon in which a person is depicted as a "beast, bird, fish, insect, or other inhuman animal."

Sounds unconstitutional and entirely self-interested! What Could Possibly Go Wrong?

Turns out, people who make fun of politicians for a living are pretty comfortable fighting back against politicians. Criticism of Governor Pennypacker and the anti-cartoon bill exploded, with cartoonists nationwide depicting the Governor and others as turnips, trees, chestnut burrs, squash, and beer steins. The blowback was so humiliating that the bill was pulled from consideration and replaced with a new broader bill making newspaper editors and publishers personally responsible for libel lawsuits.

The press ramped up their ridicule, daring Pennypacker to take them to court. But the law was never enforced and was repealed after he left office, having been hounded for his entire term by critical cartoons.

That's one way to draw attention.

Great moments in unintended consequences: good intentions, bad results.

Do you know a great moment in unintended consequences? Email us at comedy@reason.com.

The post Great Moments in Unintended Consequences: Road Noise Meters, San Francisco Red State Boycott, and Pennsylvania's Political Cartoon Ban (Vol. 15) appeared first on Reason.com.

  • ✇Slashdot
  • Police Now Need Warrant For IP Addresses, Canada's Top Court RulesBeauHD
    The Supreme Court of Canada ruled today that police must now have a warrant or court order to obtain a person or organization's IP address. CBC News reports: The top court was asked to consider whether an IP address alone, without any of the personal information attached to it, was protected by an expectation of privacy under the Charter. In a five-four split decision, the court said a reasonable expectation of privacy is attached to the numbers making up a person's IP address, and just getting
     

Police Now Need Warrant For IP Addresses, Canada's Top Court Rules

Od: BeauHD
1. Březen 2024 v 23:40
The Supreme Court of Canada ruled today that police must now have a warrant or court order to obtain a person or organization's IP address. CBC News reports: The top court was asked to consider whether an IP address alone, without any of the personal information attached to it, was protected by an expectation of privacy under the Charter. In a five-four split decision, the court said a reasonable expectation of privacy is attached to the numbers making up a person's IP address, and just getting those numbers alone constitutes a search. Writing for the majority, Justice Andromache Karakatsanis wrote that an IP address is "the crucial link between an internet user and their online activity." "Thus, the subject matter of this search was the information these IP addresses could reveal about specific internet users including, ultimately, their identity." Writing for the four dissenting judges, Justice Suzanne Cote disagreed with that central point, saying there should be no expectation of privacy around an IP address alone. [...] In the Supreme Court majority decision, Karakatsanis said that only considering the information associated with an IP address to be protected by the Charter and not the IP address itself "reflects piecemeal reasoning" that ignores the broad purpose of the Charter. The ruling said the privacy interests cannot be limited to what the IP address can reveal on its own "without consideration of what it can reveal in combination with other available information, particularly from third-party websites." It went on to say that because an IP address unlocks a user's identity, it comes with a reasonable expectation of privacy and is therefore protected by the Charter. "If [the Charter] is to meaningfully protect the online privacy of Canadians in today's overwhelmingly digital world, it must protect their IP addresses," the ruling said. Justice Cote, writing on behalf of justices Richard Wagner, Malcolm Rowe and Michelle O'Bonsawin, acknowledged that IP addresses "are not sought for their own sake" but are "sought for the information they reveal." "However, the evidentiary record in this case establishes that an IP address, on its own, reveals only limited information," she wrote. Cote said the biographical personal information the law was designed to protect are not revealed through having access to an IP address. Police must use that IP address to access personal information that is held by an ISP or a website that tracks customers' IP addresses to determine their habits. "On its own, an IP address does not even reveal browsing habits," Cote wrote. "What it reveals is a user's ISP -- hardly a more private piece of information than electricity usage or heat emissions." Cote said placing a reasonable expectation of privacy on an IP address alone upsets the careful balance the Supreme Court has struck between Canadians' privacy interests and the needs of law enforcement. "It would be inconsistent with a functional approach to defining the subject matter of the search to effectively hold that any step taken in an investigation engages a reasonable expectation of privacy," the dissenting opinion said.

Read more of this story at Slashdot.

❌
❌