FreshRSS

Normální zobrazení

Jsou dostupné nové články, klikněte pro obnovení stránky.
PředevčíremHlavní kanál
  • ✇NekoJonez's Gaming Blog
  • Indiana Jones and the Infernal Machine now supports custom levelsNekoJonez
    Everybody has several games that mean quite a lot to them. For me, one of these games is Indiana Jones and the Infernal Machine. I not only grew up with this game, but I also have a lot of memories of this game. Outside of that, I also met some amazing friends through the community behind this game. I even did several speedruns of this game, and I’m an active member of the community. Now, color me surprised that 25 years after the release of this game, we got new fan-made content for this ga
     

Indiana Jones and the Infernal Machine now supports custom levels

Od: NekoJonez
21. Červenec 2024 v 20:23

Everybody has several games that mean quite a lot to them. For me, one of these games is Indiana Jones and the Infernal Machine. I not only grew up with this game, but I also have a lot of memories of this game. Outside of that, I also met some amazing friends through the community behind this game. I even did several speedruns of this game, and I’m an active member of the community. Now, color me surprised that 25 years after the release of this game, we got new fan-made content for this game. Not just fan made content in the style of fan patches to solve bugs with the game, a whole new level and promises of a level editor to create even more new custom content. This blew me off my socks and in today’s article I want to talk about it. So strap in and let’s get ready to play new content for one of the best Indiana Jones games ever made.

The new level – SED

There is a speedrunning discord server for this game. Well, it was a speedrunning discord server but for a few years now, this server has grown into a server of people who appreciate this game. If you want to join this discord, here is an invite link. When the server started to grow, several modders joined our server. One of these modders is going under the name of Urgon (currently) and what we didn’t know is that he was decompiling the whole game. Not only that, he was creating a level editor based upon an existing level editor.

This existing level editor is for Star Wars Jedi Knight & Mysteries of the Sith. Those games used an engine that formed the basis for the Jones3D engine. While he was developing that editor, he tested his skills by creating a new level. So, basically, parts of this new level are tests of the new level editor and what you can do with it.

Now, information about this new level and the download link can be found at this GitHub repository. If you want to download the actual level, you have to go to this page and click the green button named “Code”. In that dropdown, you can choose “download zip”. You’ll need that later if you want to install/play this custom level. Now, if you read the pre-mod or the installation instructions for this level, you might feel overwhelmed if you aren’t very technically inclined. That’s why two community members wrote two special tools to aid you in preparing your game.

You might ask yourself, like Klamath did in at the end of our stream of this custom level, why are there two tools for basically the same? Well, let me tell you the history about it. When I wanted to play the custom level, I had a bit of trouble myself while figuring out the tutorial. I also found that the required steps were quite a lot to do. So, I decided to start writing a PowerShell script that did all the steps. I announced that in the Indy3D discord that I was writing this. When I almost completed my tool, the_Kovic dropped his version of the tool.

Personally, I didn’t want to throw my work out the window and continued finishing my GUI version. When I finished, I didn’t convert my tool to an EXE and left it just as a script file you could run using a command line or a code editor. The next day, Kovic released a GUI version of his tool and I gave some feedback on his tool. In the days after that, I created an EXE version of my tool and we both kept adding features in our tool. He wrote his tool in C#, which is a bit friendlier to create an EXE. If I didn’t release my first version as a script only and converted it to an EXE, I think it might have been less overwhelming for people.

That said, Kovic thanked me for creating my tool since like he said on our stream: “It put my butt into gear to create a tool and write a GUI, which I normally don’t write“. On top of that, our tools aren’t meant to compete with each other. I can’t write C# and Kovic can’t write PowerShell. And it would be a shame to just delete work because somebody else was quicker or made their tool more user-friendly first. The result now is that we both have two very strong tools with a very similar, maybe even completely the same, feature set.

Outside a different choice of coding language, the biggest differences between both our tools are under the hood. In Kovic’s tool, you get more files than in my tool when you download the tool. And that’s because to prepare your game for custom levels, you need to extract several files in the resource folder. The tool used for extraction has a bug where instead of extracting the folders of the archive into the resource folder, it extracts them into separate folders, like if you would extract a zip file. Kovic packs a modified version of this extraction tool so that part of the process goes a bit faster. In my version, the tool just downloads the latest official versions of the tool and prepare the game that way.

In the end, both our tools give you the same end result. They prepare your game to install custom levels and play them. If you want to try out the_kovic’s tool, you can find the latest version on this releases page. If you want to try our my tool, you can find it on this releases page. Feedback to our tools is always welcome! If you find an issue or if you have an idea, feel free to hit us up, and we will look into it.

Earlier I talked about a stream of the level we did. Klamath, the_Kovic and me did a live stream where we played through this level. Now, I have to emphasize that release of this level is an impressive technical achievement. Creating a level for a 3D game isn’t easy and requires a lot of work. It’s even more impressive when you know that not everything is documented about the engine, and you have to decompile a lot of it. In the next part of this article, I’m going to talk about the level itself and critique it.

If you don’t want spoilers, I’d advise you to skip that section for now and come back later. Now, I want to say that I start reviewing the level in a moment, but this feedback is mostly meant for people who want to make new custom content. What did this new level do right and wrong if you look at it as a player who doesn’t know the technical background of this level? This isn’t meant to break down the amazing work the modders did to make this work.

Reviewing the new level

Editorial note: this review will spoil quite a lot. If you don’t want to get spoiled, you have to skip this section of the article. This isn’t a walkthrough of the level either. Some sections are skipped, I’m only going to talk about the sections I want to talk about.

The new level takes place 25 years after the ending of the original game. Indy returns to his Canyonlands dig site. You are set loose at the tent where Sophia picked Indy up with a helicopter to start the Infernal Machine adventure.

In terms of new content, there isn’t a lot new to see. Some ladders are missing and some parts of the level are blocked off. Also, all treasures are missing that you would usually find in the level.

Before I continue, I want to mention that some parts of this level are made quite difficult on purpose. The developer wanted to give us the feeling we were young kids again, playing this game for the first time, and have us figure out the new puzzles by ourselves. Yet, finding a correct balance between difficulty and unfair is a very fine line to thread. Personally, I think that in some sections, the developer crossed the line into unfair level design.

When running on the top section, you notice that there are some new voice lines. These voice lines are made possible with a voice cloning AI tool that was trained on lines from Doug Lee, the original voice actor for this game. The new voice lines sound amazing, and if you didn’t know better, you’d think that Doug Lee came back to record the new lines. In most cases, these voice lines really fit Indy’s personality and fit right into the game.

We come to our first snag when we want to go to the new content. I can understand not seeing the shovel and being confused, since it’s hanging at the jeep on top. And you know what’s even more confusing, the other side of the jeep model has a shovel in its texture! Anyhow, when you pick up the shovel and dig up the Infernal Machine part, it’s clear that you need to break a wall. Here comes one of the worst parts of this level. The location of this cracked wall is insanely well hidden. It’s in one of the last places you’d look, and several of the first players ran around for hours upon hours in Canyonlands before it was found. And when it was found, it made us annoyed.

It’s a clear example of how players who are used to the level, overlooking the obvious. The wall you need to break has an actual cracked wall texture, but it’s behind something you can’t see through. I think it would have been fine if the location, where it is at, had a bigger ledge so you’d notice it somewhat instead of just having to go on a wild goose chase.

Now, we enter the new area. We come to a big open space where the next set of puzzles are. The first puzzle is actually a jumping puzzle. Now, I highly advise you to not play this level if you haven’t played through most of Indiana Jones and the Infernal Machine. Not that there are spoilers, but because some of the jumps in this level are straight up difficult and not what you really expect from this game. Kovic calls it “Kaizo Jones” for a good reason.

There are some small platforms and not having the look key working is going to be a pain in this section. Since, there are some moments where you need to be able to free look and not being able to see beneath or above you will make things a lot more tricky. After you finished these jumps, you might start to notice that the developer of this custom level added some details. Like, the rope bridges are gently moving in the wind. This is something that isn’t present in the original game. It’s a new “COG” script that makes that possible.

These cog scripts are a blessing for custom content. Since, this game isn’t hardcoded at all, so if you learn how to write these cog scripts, you can basically write new mechanics as well. It’s insane what possibilities there are going to be in the future for this game. I hope there is going to be good documentation so that custom level creaters know what’s possible and what’s impossible with the level editor.

While you are exploring this area, you notice that it’s huge. This also explains why it takes quite a while to load this level. Currently, modders are looking into why it’s running so slow. Since, we don’t really know if it’s the level size or something else slowing down the loading of this level.

So, after jumping around the central column, you’ll arive at the shed. Here you notice you can actually enter the shed from the top. Kovic explains it quite well during the stream. If you want to hear some technical explanations on how this level works, I’d advice you to watch our stream. Since there is a lot of interesting development talk in there. Later, Kovic and myself had a contest in trying to quote voice lines from the main game. We got close to 200. Kovic won that because I said a line he already said.

After you picked up everything from this shed and climbed outside, you experience another new mechanic of this game. It’s a mechanic that gets backported from Indiana Jones and the Emperor’s Tomb. The fact you can use your whip to go over a zipline.

After you returned and struggled with getting across the other bridge, you will encounter other parts of this level. Here you’ll encounter two voice lines that straight up lie to you. The first voice line is that you need more force, explosives to break a rock that’s blocking your way forwards. Here is the issue with that, you get an explosive barrel later. You need to find an extremely hidden swim tunnel in the water. It’s not the only hidden thing in the water, so investigate behind and underneath rocks quite well. Since, these puzzles in this water border in the unfair territory. What makes that explosive voice line even more evil is that there is a box of TNT in the shed earlier. But what’s the second voice line that lies to you?

Well, that is after you made your way past said boulder. You find a minecart and interacting with it, Indy says that it will run with gasoline. And there is still gasoline left in the shed. Sadly, you can’t pick it up anymore. Now, this is a red haring, you don’t need this minecart at all.

By now, you have learned that this level likes to break the rules of how the main game is designed. You’ll have to think outside of the box sometimes to beat this level. But, for some jumps, you need to use your knowledge of what’s possible and impossible to progress. This makes it quite tricky sometimes to progress. And this brings me to a conclusion we also said on stream. I think that the issue is that people expected a more tame level than what we actually got and that might turn some people off. But, I’m so glad that the quick save system exists in this game. So, abuse the quick save system and make multiple saves since you’ll need them if you aren’t a veteran player of this game.

Anyhow, let’s get back into the flow of the level. After we completed the lever puzzle, we go back towards to the huge open area and take the lift to a new location. What follows is a totally new area where it’s a good thing if you saved up on health packs and you have a great sense of direction.

So, the short minecart ridge comes to an end. It doesn’t take long before you find yourself into a watermaze. This watermaze is unfair in my honest opinion. Klamath had a tricky time solving it and he had to use almost every health pack to get through it. Without Kovic pointing out the right tunnel, I think it would have made the stream quite a bit longer. There was supposed to be a minecart section instead of this swimming maze, but the developer had a hard time making the minecart section to work and he gave up and made this swimming maze.

Now, I’m all fine with this swimming maze, but the map glitches out at certain parts. I have a mediocre sense of direction and I wanted to rely on the map. The map doesn’t always render the tunnels correctly. You sometimes swim off the map or “in nothingness”. Sadly, I have to draw my own map. I wish I still had it, since it would make for a nice screenshot here… But I threw it out but me and my clumsiness… I knocked over my waterbottle over it.

After the swimming maze, we get a new section of “Kaizo Jones”. Where we get some extremely tricky platforming. Here is where you need to use the look key again and be sure you are playing in 4:3. If you are playing in another resolution like 16:9 or 16:10, this will also be one of the moments where you don’t see all the information.

The block puzzle that follows, feels right out of Tomb Raider. The initial reviews of this game called out this game as a Tomb Raider clone. While, this game does the formula a whole lot differently. We even talked about that during the stream. In terms of theming, Infernal Machine is a lot better. Tomb Raider feels like obstacle courses. But that’s thanks to a different engine and control style. If you want to hear the whole discussion, you can watch the stream from this point. Excuse Kovic’s internet being spotty while he was replying.

After the block puzzle, a new path opens in the swim maze. Then, some platforming comes. Something I love is how there is even a troll hidden inside the platforming. It caught me off guard and made me smile. This platforming section was also love to do. It felt like a real test on how well I know the game. This platforming section feels a lot better put together and feel less cryptic on where you need to go next. You really start to notice that the developer was getting more used to the level editor and made better puzzles. The moment of having to use the whip to swing over the gap while the platform underneath you was breaking was amazing.

After that, we get into the finale of this level. We jump into a portal and we land in a playable area which is shown at the end of Shambala, the 4th level in the original game. That area that’s shown to you after you have beaten the Ice Guardian. The path that takes you to Palawan Lagoon. It was possible to explore that using cheats and modified saves, but now it’s in a level for real. Exploring the little house at the end brings us to something you totally don’t expect this custom level to do. You’ll find a parchment inside with a riddle and at the end you notice something in red saying: “MAT -> ZIP”.

There is some meta gaming now going on. You’ll need to make a hard save and exit your game. You’ll need to go to your resource folder & then open the MAT folder. In there you’ll need to rename “SED.MAT” to “SED.ZIP”. You’ll also need to enter the password for the zip, since you get a new cog script to continue the level, which you need to place in your cog folder. In there, there is another surprise. But, that’s something I’m not going to spoil. But, be sure that Kovic is playing with that surprise and maybe I’m going to dig into it. PS, the next paragraph is in white with the right spelling of the password:

Marcus

The way the level ends is bittersweet. If you solve the final puzzle, something special happens and you can beat the level. You could argue that the ending of this level is “lazy” or “creative”… But, it’s an amazing way to wrap up the story in one level with a nice bow.

At the start of the custom level, I felt that it was quite rough around the edges and it had some moments that felt badly designed. In terms of game design that is. If you look at it visually and level flow wise, I personally think that this level would fit right into the original game as a final challenge. But, the further you go into the level, the more you start to notice that the developer of this level is getting used to the tools and the editor and more polished puzzles and area’s are coming through. You notice the journey of the developer and see it becoming better and better.

I want to give a big congrats to everybody who was involved in releasing this custom level. It’s a blast to play and I can’t wait to see more custom levels. The stream I did with this level was one of the best streams ever and it was also quite a lot of fun to write the tool to help people play this custom level. I’m curious what you think about this custom level and/or the content of this article. Feel free to drop something in the comment section down below.

And with that, I have said everything I wanted to say about this for now. I want to thank you so much for reading and I hope you enjoyed it as much as I enjoyed writing it. I hope to welcome you back in another article but until then, have a great rest of your day and take care.

  • ✇Semiconductor Engineering
  • Chip Industry Week In ReviewThe SE Staff
    BAE Systems and GlobalFoundries are teaming up to strengthen the supply of chips for national security programs, aligning technology roadmaps and collaborating on innovation and manufacturing. Focus areas include advanced packaging, GaN-on-silicon chips, silicon photonics, and advanced technology process development. Onsemi plans to build a $2 billion silicon carbide production plant in the Czech Republic. The site would produce smart power semiconductors for electric vehicles, renewable energy
     

Chip Industry Week In Review

21. Červen 2024 v 09:01

BAE Systems and GlobalFoundries are teaming up to strengthen the supply of chips for national security programs, aligning technology roadmaps and collaborating on innovation and manufacturing. Focus areas include advanced packaging, GaN-on-silicon chips, silicon photonics, and advanced technology process development.

Onsemi plans to build a $2 billion silicon carbide production plant in the Czech Republic. The site would produce smart power semiconductors for electric vehicles, renewable energy technology, and data centers.

The global chip manufacturing industry is projected to boost capacity by 6% in 2024 and 7% in 2025, reaching 33.7 million 8-inch (200mm) wafers per month, according to SEMIs latest World Fab Forecast report. Leading-edge capacity for 5nm nodes and below is expected to grow by 13% in 2024, driven by AI demand for data center applications. Additionally, Intel, Samsung, and TSMC will begin producing 2nm chips using gate-all-around (GAA) FETs next year, boosting leading-edge capacity by 17% in 2025.

At the IEEE Symposium on VLSI Technology & Circuits, imec introduced:

  • Functional CMOS-based CFETs with stacked bottom and top source/drain contacts.
  • CMOS-based 56Gb/s zero-IF D-band beamforming transmitters to support next-gen short-range, high-speed wireless services at frequencies above 100GHz.
  • ADCs for base stations and handsets, a key step toward scalable, high-performance beyond-5G solutions, such as cloud-based AI and extended reality apps.

Quick links to more news:

Global
In-Depth
Market Reports
Education and Training
Security
Product News
Research
Events and Further Reading


Global

Wolfspeed postponed plans to construct a $3 billion chip plant in Germany, underscoring the EU‘s challenges in boosting semiconductor production, reports Reuters. The North Carolina-based company cited reduced capital spending due to a weakened EV market, saying it now aims to start construction in mid-2025, two years later than 0riginally planned.

Micron is building a pilot production line for high-bandwidth memory (HBM) in the U.S., and considering HBM production in Malaysia to meet growing AI demand, according to a Nikkei report. The company is expanding HBM R&D facilities in Boise, Idaho, and eyeing production capacity in Malaysia, while also enhancing its largest HBM facility in Taichung, Taiwan.

Kioxia restored its Yokkaichi and Kitakami plants in Japan to full capacity, ending production cuts as the memory market recovers, according to Nikkei. The company, which is focusing on NAND flash production, has secured new bank credit support, including refinancing a ¥540 billion loan and establishing a ¥210 billion credit line. Kioxia had reduced output by more than 30% in October 2022 due to weak smartphone demand.

Europe’s NATO Innovation Fund announced its first direct investments, which includes semiconductor materials. Twenty-three NATO allies co-invested in this over $1B fund devoted to address critical defense and security challenges.

The second meeting of the U.S.India Initiative on Critical and Emerging Technology (iCET) was held in New Delhi, with various funding and initiatives announced to support semiconductor technology, next-gen telecommunications, connected and autonomous vehicles, ML, and more.

Amazon announced investments of €10 billion in Germany to drive innovation and support the expansion of its logistics network and cloud infrastructure.

Quantum Machines opened the Israeli Quantum Computing Center (IQCC) research facility, backed by the Israel Innovation Authority and located at Tel Aviv University. Also, Israel-based Classiq is collaborating with NVIDIA and BMW, using quantum computing to find the optimal automotive architecture of electrical and mechanical systems.

Global data center vacancy rates are at historic lows, and power availability is becoming less available, according to a Siemens report featured on Broadband Breakfast. The company called for an influx of financing to find new ways to optimize data center technology and sustainability.


In-Depth

Semiconductor Engineering published its Manufacturing, Packaging & Materials newsletter this week, featuring these top stories:

More reporting this week:


Market Reports

Renesas completed its acquisition of Transphorm and will immediately start offering GaN-based power products and reference designs to meet the demand for wide-bandgap (WBG) chips.

Revenues for the top five wafer fab equipment (WFE) companies fell 9% YoY in Q1 2024, according to Counterpoint. This was offset partially by increased demand for NAND and DRAM, which increased 33% YoY, and strong growth in sales to China, which were up 116% YoY.

The SiC power devices industry saw robust growth in 2023, primarily driven by the BEV market, according to TrendForce. The top five suppliers, led by ST with a 32.6% market share and onsemi in second place, accounted for 91.9% of total revenue. However, the anticipated slowdown in BEV sales and weakening industrial demand are expected to significantly decelerate revenue growth in 2024. 

About 30% of vehicles produced globally will have E/E architectures with zonal controllers by 2032, according to McKinsey & Co. The market for automotive micro-components and logic semiconductors is predicted to reach $60 billion in 2032, and the overall automotive semiconductor market is expected to grow from $60 billion to $140 billion in the same period, at a 10% CAGR.

The automotive processor market generated US$20 billion in revenue in 2023, according to Yole. US$7.8 billion was from APUs and FPGAs and $12.2 billion was from MCUs. The ADAS and infotainment processors market was worth US$7.8 billion in 2023 and is predicted to grow to $16.4 billion by 2029 at a 13% CAGR. The market for ADAS sensing is expected to grow at a 7% CAGR.


Security

The CHERI Alliance was established to drive adoption of memory safety and scalable software compartmentalization via the security technology CHERI, or Capability Hardware Enhanced RISC Instructions. Founding members include Capabilities Limited, Codasip, the FreeBSD Foundation, lowRISC, SCI Semiconductor, and the University of Cambridge.

In security research:

  • Japan and China researchers explored a NAND-XOR ring oscillator structure to design an entropy source architecture for a true random number generator (TRNG).
  • University of Toronto and Carleton University researchers presented a survey examining how hardware is applied to achieve security and how reported attacks have exploited certain defects in hardware.
  • University of North Texas and Texas Woman’s University researchers explored the potential of hardware security primitive Physical Unclonable Functions (PUF) for mitigation of visual deepfakes.
  • Villanova University researchers proposed the Boolean DERIVativE attack, which generalizes Boolean domain leakage.

Post-quantum cryptography firm PQShield raised $37 million in Series B funding.

Former OpenAI executive, Ilya Sutskever, who quit over safety concerns, launched Safe Superintelligence Inc. (SSI).

EU industry groups warned the European Commission that its proposed cybersecurity certification scheme (EUCS) for cloud services should not discriminate against Amazon, Google, and Microsoft, reported Reuters.

Cyber Europe tested EU cyber preparedness in the energy sector by simulating a series of large-scale cyber incidents in an exercise organized by the European Union Agency for Cybersecurity (ENISA).

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Education and Training

New York non-profit NY CREATES and South Korea’s National Nano Fab Center partnered to develop a hub for joint research, aligned technology services, testbed support, and an engineer exchange program to bolster chips-centered R&D, workforce development, and each nation’s high-tech ecosystem.

New York and the Netherlands agreed on a partnership to promote sustainability within the semiconductor industry, enhance workforce development, and boost semiconductor R&D.

Rapidus is set to send 200 engineers to AI chip developer Tenstorrent in the U.S. for training over the next five years, reports Nikkei. This initiative, led by Japan’s Leading-edge Semiconductor Technology Center (LSTC), aims to bolster Japan’s AI chip industry.


Product News

UMC announced its 22nm embedded high voltage (eHV) technology platform for premium smartphone and mobile device displays. The 22eHV platform reduces core device power consumption by up to 30% compared to previous 28nm processes. Die area is reduced by 10% with the industry’s smallest SRAM bit cells.​

Alphawave Semi announced a new 9.2 Gbps HBM3E sub-system silicon platform capable of 1.2 terabytes per second. Based on the HBM3E IP, the sub-system is aimed at addressing the demand for ultra-high-speed connectivity in high-performance compute applications.

Movellus introduced the Aeonic Power product family for on-die voltage regulation, targeting the challenging area of power delivery.

Cadence partnered with Semiwise and sureCore to develop new cryogenic CMOS circuits with possible quantum computing applications. The circuits are based on modified transistors found in the Cadence Spectre Simulation Platform and are capable of processing analog, mixed-signal, and digital circuit simulation and verification at cryogenic temperatures.

Renesas launched R-Car Open Access (RoX), an integrated development platform for software-defined vehicles (SDVs), designed for Renesas R-Car SoCs and MCUs with tools for deployment of AI applications, reducing complexity and saving time and money for car OEMs and Tier 1s.

Infineon released industry-first radiation-hardened 1 and 2 Mb parallel interface ferroelectric-RAM (F-RAM) nonvolatile memory devices, with up to 120 years of data retention at 85-degree Celsius, along with random access and full memory write at bus speeds. Plus, a CoolGaN Transistor 700 V G4 product family for efficient power conversion up to 700 V, ideal for consumer chargers and notebook adapters, data center power supplies, renewable energy inverters, and more.

Ansys adopted NVIDIA’s Omniverse application programming interfaces for its multi-die chip designers. Those APIs will be used for 5G/6G, IoT, AI/ML, cloud computing, and autonomous vehicle applications. The company also announced ConceptEV, an SaaS solution for automotive concept design for EVs.

Fig. 1: Field visualization of 3D-IC with Omniverse. Source: Ansys

QP Technologies announced a new dicing saw for its manufacturing line that can process a full cassette of 300mm wafers 7% faster than existing tools, improving throughput and productivity.

NXP introduced its SAF9xxx of audio DSPs to support the demand for AI-based audio in software-defined vehicles (SDVs) by using Cadence’s Tensilica HiFi 5 DSPs combined with dedicated neural-network engines and hardware-based accelerators.

Avionyx, a provider of software lifecycle engineering in the aerospace and safety-critical systems sector, partnered with Siemens and will leverage its Polarion application lifecycle management (ALM) tool. Also, Dovetail Electric Aviation adopted Siemens Xcelerator to support sustainable aviation.


Research

Researchers from imec and KU Leuven released a +70 page paper “Selecting Alternative Metals for Advanced Interconnects,” addressing interconnect resistance and reliability.

A comprehensive review article — “Future of plasma etching for microelectronics: Challenges and opportunities” — was created by a team of experts from the University of Maryland, Lam Research, IBM, Intel, and many others.

Researchers from the Institut Polytechnique de Paris’s Laboratory of Condensed Matter for Physics developed an approach to investigate defects in semiconductors. The team “determined the spin-dependent electronic structure linked to defects in the arrangement of semiconductor atoms,” the first time this structure has been measured, according to a release.

Lawrence Berkeley National Laboratory-led researchers developed a small enclosed chamber that can hold all the components of an electrochemical reaction, which can be paired with transmission electron microscopy (TEM) to generate precise views of a reaction at atomic scale, and can be frozen to stop the reaction at specific time points. They used the technique to study a copper catalyst.

The Federal Drug Administration (FDA) approved a clinical trial to test a device with 1,024 nanoscale sensors that records brain activity during surgery, developed by engineers at the University of California San Diego (UC San Diego).


Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
Standards for Chiplet Design with 3DIC Packaging (Part 2) Jun 21 Online
DAC 2024 Jun 23 – 27 San Francisco
RISC-V Summit Europe 2024 Jun 24 – 28 Munich
Leti Innovation Days 2024 Jun 25 – 27 Grenoble, France
ISCA 2024 Jun 29 – Jul 3 Buenos Aires, Argentina
SEMICON West Jul 9 – 11 San Francisco
Flash Memory Summit Aug 6 – 8 Santa Clara, CA
USENIX Security Symposium Aug 14 – 16 Philadelphia, PA
Hot Chips 2024 Aug 25- 27 Stanford University
Find All Upcoming Events Here

Upcoming webinars are here.

Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials


The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

  • ✇Semiconductor Engineering
  • Power Electronic Packaging for Discrete DiesTechnical Paper Link
    A technical paper titled “Substrate Embedded Power Electronics Packaging for Silicon Carbide MOSFETs” was published by researchers at University of Cambridge, University of Warwick, Chongqing University, and SpaceX. Abstract: “This paper proposes a new power electronic packaging for discrete dies, namely Standard Cell which consists of a step-etched active metal brazed (AMB) substrate and a flexible printed circuit board (flex-PCB). The standard cell exhibits high thermal conductivity, complete
     

Power Electronic Packaging for Discrete Dies

21. Červen 2024 v 00:19

A technical paper titled “Substrate Embedded Power Electronics Packaging for Silicon Carbide MOSFETs” was published by researchers at University of Cambridge, University of Warwick, Chongqing University, and SpaceX.

Abstract:

“This paper proposes a new power electronic packaging for discrete dies, namely Standard Cell which consists of a step-etched active metal brazed (AMB) substrate and a flexible printed circuit board (flex-PCB). The standard cell exhibits high thermal conductivity, complete electrical insulation, and low stray inductance, thereby enhancing the performance of SiC MOSFET devices. The standard cell has a stray power loop inductance of less than 1 nH and a gate loop inductance of less than 1.5 nH . The standard cell has a flat body with surface-mounting electrical connections on one side and direct thermal connections on the other. The use of flex-PCB die interconnection enables maximum utilization of source pads while providing a flexible gate-source connection and the converter PCB. This paper presents the design concept of the standard cell and experimentally validates its effectiveness in a converter system.”

Find the technical paper here. Published May 2024.

A. Janabi et al., “Substrate Embedded Power Electronics Packaging for Silicon Carbide MOSFETs,” in IEEE Transactions on Power Electronics, doi: 10.1109/TPEL.2024.3396779.

Related Reading
Big Shifts In Power Electronics Packaging
Packages are becoming more complex to endure high power, high temperature conditions across a variety of applications.
Power Semiconductors: A Deep Dive Into Materials, Manufacturing & Business
Premium Content: How these devices are made and work, challenges in manufacturing, related startups, as well as the reasons why so much effort and resources are being spent to develop new materials, and new processes.</

The post Power Electronic Packaging for Discrete Dies appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇Semiconductor Engineering
  • Chip Industry Technical Paper Roundup: June 10Linda Christensen
    New technical papers added to Semiconductor Engineering’s library this week. Technical Paper Research Organizations NeRTCAM: CAM-Based CMOS Implementation of Reference Frames for Neuromorphic Processors Carnegie Mellon University Using Formal Verification to Evaluate Single Event Upsets in a RISC-V Core University of Southampton High temperature stability of regrown and alloyed Ohmic contacts to AlGaN/GaN heterostructure up to 500 °C MIT, Technology Innovation Institute, Ohio State U
     

Chip Industry Technical Paper Roundup: June 10

10. Červen 2024 v 09:01

New technical papers added to Semiconductor Engineering’s library this week.

Technical Paper Research Organizations
NeRTCAM: CAM-Based CMOS Implementation of Reference Frames for Neuromorphic Processors Carnegie Mellon University
Using Formal Verification to Evaluate Single Event Upsets in a RISC-V Core University of Southampton
High temperature stability of regrown and alloyed Ohmic contacts to AlGaN/GaN heterostructure up to 500 °C MIT, Technology Innovation Institute, Ohio State University, Rice University and Bangladesh University of Engineering and Technology
Comparative Analysis of Thermal Properties in Molybdenum Substrate to Silicon and Glass for a System-on-Foil Integration Rochester Institute of Technology and Lux Semiconductors
Modelling thermomechanical degradation of moulded electronic packages using physics-based digital twin Delft University of Technology and NXP Semiconductors
On the quality of commercial chemical vapour deposited hexagonal boron nitride KAUST and the National Institute for Materials Science in Japan
CMOS IC Solutions for the 77 GHz Radar Sensor in Automotive Applications STMicroelectronics and University of Catania
Imperceptible augmentation of living systems with organic bioelectronic fibres University of Cambridge and University of Macau

More Reading
Technical Paper Library home

The post Chip Industry Technical Paper Roundup: June 10 appeared first on Semiconductor Engineering.

  • ✇Semiconductor Engineering
  • Chip Industry Week In ReviewThe SE Staff
    JEDEC and the Open Compute Project rolled out a new set of guidelines for standardizing chiplet characterization details, such as thermal properties, physical and mechanical requirements, and behavior specs. Those details have been a sticking point for commercial chiplets, because without them it’s not possible to choose the best chiplet for a particular application or workload. The guidelines are a prerequisite for a multi-vendor chiplet marketplace. AMD, Broadcom, Cisco, Google, HPE, Intel, Me
     

Chip Industry Week In Review

31. Květen 2024 v 09:01

JEDEC and the Open Compute Project rolled out a new set of guidelines for standardizing chiplet characterization details, such as thermal properties, physical and mechanical requirements, and behavior specs. Those details have been a sticking point for commercial chiplets, because without them it’s not possible to choose the best chiplet for a particular application or workload. The guidelines are a prerequisite for a multi-vendor chiplet marketplace.

AMD, Broadcom, Cisco, Google, HPE, Intel, Meta, and Microsoft proposed a new high-speed, low-latency interconnect specification, Ultra Accelerator Link (UALink), between accelerators and switches in AI computing pods. The 1.0 specification will enable the connection of up to 1,024 accelerators within a pod and allow for direct loads and stores between the memory attached to accelerators.

Arm debuted a range of new CPUs, including the Cortex-X925 for on-device generative AI, and the Cortex-A725 with improved efficiency for AI and mobile gaming. It also announced the Immortalis-G925 GPU for flagship smartphones, and the Mali-G725/625 GPUs for consumer devices. Additionally, Arm announced Compute Subsystems (CSS) for Client to provide foundational computing elements for AI smartphone and PC SoCs, and it introduced KleidiAI, a set of compute kernels for developers of AI frameworks. The Armv9-A architecture also added support for the Scalable Matrix Extension to accelerate AI workloads.

TSMC said its 2nm process is on target to begin mass production in 2025. Meanwhile, Samsung is expected to release its 1nm plan next month, targeting mass production for 2026 — a year ahead of schedule, reports Business Korea.

CHIPs for America and NATCAST released a 2024 roadmap for the U.S. National Semiconductor Technology Center (NSTC), identifying priorities for facilities, research, workforce development, and membership.

China is investing CNY 344 billion (~$47.5 billion) into the third phase of its National Integrated Circuit Industry Investment Fund, also known as the Big Fund, to support its semiconductor sector and supply chain, according to numerous reports.

Malaysia plans to invest $5.3 billion in seed capital and support for semiconductor manufacturing in an effort to attract more than $100 billion in foreign investments, reports Reuters. Prime Minister Anwar Ibrahim announced the effort to create at least 10 companies focused on IC design, advanced packaging, and equipment manufacturing.

imec demonstrated a die-to-wafer hybrid bonding flow for Cu-Cu and SiCN-SiCN at pitches down to 2µm at the IEEE’s ECTC conference. This breakthrough could enable die and wafer-level optical interconnects.

The chip industry is racing to develop glass for advanced packaging, setting the stage for one of the biggest shifts in chip materials in decades — and one that will introduce a broad new set of challenges that will take years to fully resolve.

Quick links to more news:

In-Depth
Global
Product News
Markets and Money
Security
Research and Training
Quantum
Events and Further Reading


In-Depth

Semiconductor Engineering published its Systems & Design newsletter featuring these top stories:


Global

STMicroelectronics is building a fully integrated SiC facility in Catania, Italy.  The high-volume 200mm facility is projected to cost over $5 billion.

Siliconware Precision Industries Co. Ltd.(SPIL) broke ground on an RM 6 billion (~$1.3 billion) advanced packaging and testing facility in Malaysia. Also, Google will invest $2 billion in Malaysia for its first data center, and a Google Cloud hub to meet growing demand for cloud services and AI literacy programs, reports AP.

In an SEC filing, Applied Materials received additional subpoenas from the U.S. Department of Commerce’s (DoC) Bureau of Industry and Security related to shipments of advanced semiconductor equipment to China. This comes on the heels of similar subpoenas issued last year.

A Chinese contractor working for SK hynix was arrested in South Korea and is being charged with funneling more than 3,000 copies of a paper on solving process failure issues to Huawei, reports South Korea’s Union News.

VSORA, CEA-Grenoble, and Valeo were awarded $7 million from the French government to build low-latency, low-power AI inference co-processors for autonomous driving and other applications.

In the U.S., the National Highway Traffic Safety Administration (NHTSA) is investigating unexpected driving behaviors of vehicles equipped with Waymo‘s 5th Generation automated driving system (ADS), with details of nine new incidents on top of the first 22.


Product News

ASE introduced powerSIP, a power delivery platform designed to reduce signal and transmission loss while addressing current density challenges.

Infineon announced a roadmap for energy-efficient power supply units based on Si, SiC, and GaN to address the energy needs of AI data centers, featuring new 8 kW and 12 kW PSUs, in addition to the 3 kW and 3.3 kW units available today. The company also released its CoolSiC MOSFET 400 V family, specially developed for use in the AC/DC stage of AI servers, complementing the PSU roadmap.

Fig. 1: Infineon’s 8kW PSU. Source: Infineon

Infineon also introduced two new generations of high voltage (HV) and medium voltage (MV) CoolGaN TM devices, enabling customers to use GaN in voltage classes from 40 V to 700 V. The devices are built using Infineon’s 8-inch foundry processes.

Ansys launched Ansys Access on Microsoft Azure to provide pre-configured simulation products optimized for HPC on Azure infrastructure.

Foxconn Industrial Internet used Keysight Technology’s Open RAN Studio solution to certify an outdoor Open Radio Unit (O-RU).

Andes Technology announced an SoC and development board for the development and porting of large RISC-V applications.

MediaTek uncorked a pair of mobile chipsets built on a 4nm process that use an octa-core CPU consisting of 4X Arm Cortex-A78 cores operating at up to 2.5GHz paired with 4X Arm Cortex-A55 cores.

The NVIDIA H200 Blackwell platform is expected to begin shipping in Q3 of 2024 and will be available to data centers by Q4, according to TrendForce.

A room-temperature direct fusion hybrid bonding system from Be Semiconductor has shipped to the NHanced advanced packaging facility in North Carolina. The new system offers faster throughput for copper interconnects with submicron pad sizes, greater accuracy and reduced warpage.


Markets and Money

Frore Systems raised $80 million for its solid-state active cooling module, which removes heat from the top of a chip without fans. The device in systems ranging from notebooks and network edge gateways to data centers.

Axus Technology received $12.5 million in capital equity funding to make its chemical mechanical planarization (CMP) equipment for semiconductor wafer polishing, thinning, and cleaning, including of silicon carbide (SiC) wafers.

Elon Musk’s xAI announced a series B funding round of $6 billion.

Micron was ordered to pay $445 million in damages to Netlist for patent infringement of the company’s DDR4 memory module technology between 2021 and 2024.

Global revenue from AI semiconductors is predicted to total $71 billion in 2024, up 33% from 2023, according to Gartner. In 2025, it is expected to jump to $91.9 billion. The value of AI accelerators used in servers is expected to total $21 billion in 2024 and reach $33 billion by 2028.

NAND flash revenue was $14.71 billion in Q1 2024, an increase of 28.1%, according to TrendForce.

The optical transceiver market dipped from $11 billion in 2022 to $10.9 billion in 2023, but it is predicted to reach $22.4 billion by 2029, driven by AI, 800G applications, and the transition to 200G/lane ecosystem technologies, reports Yole.

Yole also found that ultra-wideband technical choices and packaging types used by NXP, Apple, and Qorvo vary considerably, ranging from 7nm to 90nm, with both CMOS and finFET transistors.

The global market share of GenAI-capable smartphones increased to 6% in Q1 2024 from 1.3% in the previous quarter, reports Counterpoint. The premium segment accounted for over 70% of sales with Samsung on top and contributing 58%. Meanwhile, global foldable smartphone shipments were up 49% YoY in Q1 2024, led by Huawei, HONOR, and Motorola.


Security

The National Science Foundation awarded Worcester Polytechnic Institute researcher Shahin Tajik almost $0.6 million to develop new technologies to address hardware security vulnerabilities.

The Hyperform consortium was formed to develop European sovereignty in post-quantum cryptography, funded by the French government and EU credits. Members include IDEMIA Secure Transactions, CEA Leti, and the French cybersecurity agency (ANSSI).

In security research:

  • University of California Davis and University of Arizona researchers proposed a framework leveraging generative pre-trained transformer (GPT) models to automate the obfuscation process.
  • Columbia University and Intel researchers presented a secure digital low dropout regulator that integrates an attack detector and a detection-driven protection scheme to mitigate correlation power analysis.
  • Pohang University of Science and Technology (POSTECH) researchers analyzed threshold switch devices and their performance in hardware security.

The U.S. Defense Advanced Research Projects Agency (DARPA) seeks proposals for its AI Quantified program to develop technology to help deploy generative AI safely and effectively across the Department of Defense (DoD) and society.

Vanderbilt University and Oak Ridge National Laboratory (ORNL) partnered to develop dependable AI for national security applications.

The Cybersecurity and Infrastructure Security Agency (CISA) issued a number of alerts/advisories.


Research and Training

New York continues to amp up their semiconductor offerings. NY CREATES and Raytheon unveiled a semiconductor workforce training program. And Syracuse  University is hosting a free virtual course focused on the semiconductor industry this summer.

In research news:

  • A team of researchers at MIT and other universities found that extreme temperatures up to 500°C did not significantly degrade GaN materials or contacts.
  • University of Cambridge researchers developed adaptive and eco-friendly sensors that can be directly and imperceptibly printed onto biological surfaces, such as a finger or flower petal.
  • Researchers at Rice University and Hanyang University developed an elastic material that moves like skin and can adjust its dielectric frequency to stabilize RF communications and counter disruptive frequency shifts that interfere with electronics when a substrate is twisted or stretched, with potential for stretchable wearable electronic devices.

The National Science Foundation (NSF) awarded $36 million to three projects chosen for their potential to revolutionize computing. The University of Texas at Austin-led project aims to create a next-gen open-source intelligent and adaptive OS. The Harvard University-led project targets sustainable computing. The University of Massachusetts Amherst-led project will develop computational decarbonization.


Quantum

Singapore will invest close to S$300 million (~$222 million) into its National Quantum Strategy to support the development and deployment of quantum technologies, including an initiative to design and build a quantum processor within the country.

Several quantum partnerships were announced:

  • Riverlane and Alice & Bob will integrate Riverlane’s quantum error correction stack within Alice & Bob’s larger quantum computing system based on cat qubit technology.
  • New York University and the University of Copenhagen will collaborate to explore the viability of hybrid superconductor-semiconductor quantum materials for the production of quantum chips and integration with CMOS processes.
  • NXP, eleQtron, and ParityQC showed off a full-stack, ion-trap based quantum computer demonstrator for Germany’s DLR Quantum Computing Initiative.
  • Photonic says it demonstrated distributed entanglement between quantum modules using optically-linked silicon spin qubits with a native telecom networking interface as part of a quantum internet effort with Microsoft.
  • Classiq and HPE say they developed a rapid method for solving large-scale combinatorial optimization problems by combining quantum and classical HPC approaches.

Events and Further Reading

Find upcoming chip industry events here, including:

Event Date Location
Hardwear.io Security Trainings and Conference USA 2024 May 28 – Jun 1 Santa Clara, CA
SWTest Jun 3 – 5 Carlsbad, CA
IITC2024: Interconnect Technology Conference Jun 3 – 6 San Jose, CA
VOICE Developer Conference Jun 3 – 5 La Jolla, CA
CHIPS R&D Standardization Readiness Level Workshop Jun 4 – 5 Online and Boulder, CO
SNUG Europe: Synopsys User Group Jun 10 – 11 Munich
IEEE RAS in Data Centers Summit: Reliability, Availability and Serviceability Jun 11 – 12 Santa Clara, CA
3D & Systems Summit Jun 12 – 14 Dresden, Germany
PCI-SIG Developers Conference Jun 12 – 13 Santa Clara, CA
AI Hardware and Edge AI Summit: Europe Jun 18 – 19 London, UK
DAC 2024 Jun 23 – 27 San Francisco
Find All Upcoming Events Here

Upcoming webinars are here, including integrated SLM analytics solution, prototyping and validation of perception sensor systems, and improving PCB designs for performance and reliability.


Semiconductor Engineering’s latest newsletters:

Automotive, Security and Pervasive Computing
Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇Boing Boing
  • Would you drive up Japan's Rollercoaster Bridge?Popkin
    There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers. If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.
     

Would you drive up Japan's Rollercoaster Bridge?

Od: Popkin
10. Květen 2024 v 20:00
Eshima ohashi bridge

There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers.

If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest

The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.

  • ✇Boing Boing
  • Would you drive up Japan's Rollercoaster Bridge?Popkin
    There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers. If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.
     

Would you drive up Japan's Rollercoaster Bridge?

Od: Popkin
10. Květen 2024 v 20:00
Eshima ohashi bridge

There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers.

If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest

The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇Boing Boing
  • Would you drive up Japan's Rollercoaster Bridge?Popkin
    There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers. If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.
     

Would you drive up Japan's Rollercoaster Bridge?

Od: Popkin
10. Květen 2024 v 20:00
Eshima ohashi bridge

There's a bridge in Japan that's so steep it's known as the Rollercoaster Bridge: the Eshima Ohashi bridge in Sakaiminato, which connects that city to nearby Matsue. Approaching the bridge from afar, it can appear nearly vertical to drivers.

If I were a passenger going up this bridge, I may have to shut my eyes until I reached the end of the incline.  — Read the rest

The post Would you drive up Japan's Rollercoaster Bridge? appeared first on Boing Boing.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇Semiconductor Engineering
  • Chip Industry Week In ReviewThe SE Staff
    Samsung and Synopsys collaborated on the first production tapeout of a high-performance mobile SoC design, including CPUs and GPUs, using the Synopsys.ai EDA suite on Samsung Foundry’s gate-all-around (GAA) process. Samsung plans to begin mass production of 2nm process GAA chips in 2025, reports BusinessKorea. UMC developed the first radio frequency silicon on insulator (RF-SOI)-based 3D IC process for chips used in smartphones and other 5G/6G mobile devices. The process uses wafer-to-wafer bond
     

Chip Industry Week In Review

3. Květen 2024 v 09:01

Samsung and Synopsys collaborated on the first production tapeout of a high-performance mobile SoC design, including CPUs and GPUs, using the Synopsys.ai EDA suite on Samsung Foundry’s gate-all-around (GAA) process. Samsung plans to begin mass production of 2nm process GAA chips in 2025, reports BusinessKorea.

UMC developed the first radio frequency silicon on insulator (RF-SOI)-based 3D IC process for chips used in smartphones and other 5G/6G mobile devices. The process uses wafer-to-wafer bonding technology to address radio frequency interference between stacked dies and reduces die size by 45%.

Fig. 1: UMC’s 3D IC solution for RFSOI technology. Source: UMC

The first programmable chip capable of shaping, splitting, and steering beams of light is now being produced by Skywater Technology and Lumotive. The technology is critical for advancing lidar-based systems used in robotics, automotive, and other 3D sensing applications.

Driven by demand for AI chips, SK hynix revealed it has already booked its entire production of high-bandwidth memory chips for 2024 and is nearly sold out of its production capacity for 2025, reported the Korea Times, while SEMI reported that silicon wafer shipments declined in Q1 2024, quarter over quarter, a 13% drop, attributed to continued weakness in IC fab utilization and inventory adjustments.

PCI-SIG published the CopprLink Internal and External Cable specifications to provide PCIe 5.0 and 6.0 signaling at 32 and 64 GT/s and leverage standard connector form factors for applications including storage, data centers, AI/ML, and disaggregated memory.

The U.S. Department of Commerce (DoC) launched the CHIPS Women in Construction Framework to boost the participation of women and economically disadvantaged people in the workforce, aiming to support on-time and successful completion of CHIPS Act-funded projects. Intel and Micron adopted the framework.

Quick links to more news:

Market Reports
Global
In-Depth
Education and Training
Security
Product News
Quantum
Research
Events
Further Reading


Markets and Money

The SiC wafer processing equipment market is growing rapidly, reports Yole. SiC devices will exceed $10B by 2029 at a CAGR of 25%, and the SiC manufacturing tool market is projected to reach $5B by 2026.

imec.xpand launched a €300 million (~$321 million) fund that will invest in semiconductor and nanotechnology startups with the potential to push semiconductor innovation beyond traditional applications and drive next-gen technologies.

Blaize raised $106 million for its programmable graph streaming processor architecture suite and low-code/no-code software platform for edge AI.

Guerrilla RF completed the acquisition of Gallium Semiconductor‘s portfolio of GaN power amplifiers and front-end modules.

About 90% of connected cars sold in 2030 will have embedded 5G capability, reported Counterpoint. Also, about 75% of laptop PCs sold in 2027 will be AI laptop PCs with advanced generative AI, and the global high-level OS (HLOS) or advanced smartwatch market is predicted to grow 15% in 2024.


Global

Powerchip Semiconductor opened a new 300mm facility in northwestern Taiwan targeting the production of AI semiconductors. The facility is expected to produce 50,000 wafers per month at 55, 40, and 28nm nodes.

Taiwan-based KYEC Semiconductor will withdraw its China operations by the third quarter due to increasing geopolitical tensions, reports the South China Morning Post.

Japan will expand its semiconductor export restrictions to China related to four technologies: Scanning electron microscopes, CMOS, FD-SOI, and the outputs of quantum computers, according to TrendForce.

IBM will invest CAD$187 million (~US$137M in Canada’s semiconductor industry, with the bulk of the investment focused on advanced assembly, testing, and packaging operations.

Microsoft will invest US$2.2 billion over the next four years to build Malaysia’s digital infrastructure, create AI skilling opportunities, establish an AI Center of Excellence, and enhance cybersecurity.


In-Depth

New stories and tech talks published by Semiconductor Engineering this week:


Security

Infineon collaborated with ETAS to integrate the ESCRYPT CycurHSM 3.x automotive security software stack into its next-gen AURIX MCUs to optimize security, performance, and functionality.

Synopsys released Polaris Assist, an AI-powered application security assistant on its Polaris Software Integrity Platform, combining LLM technology with application security knowledge and intelligence.

In security research:

U.S. President Biden signed a National Security Memorandum to enhance the resilience of critical infrastructure, and the White House announced key actions taken since Biden’s AI Executive Order, including measures to mitigate risk.

CISA and partners published a fact sheet on pro-Russia hacktivists who seek to compromise industrial control systems and small-scale operational technology systems in North American and European critical infrastructure sectors. CISA issued other alerts including two Microsoft vulnerabilities.


Education and Training

The U.S. National Institute for Innovation and Technology (NIIT) and the Department of Labor (DoL) partnered to celebrate the inaugural Youth Apprenticeship Week on May 5 to 11, highlighting opportunities in critical industries such as semiconductors and advanced manufacturing.

SUNY Poly received an additional $4 million from New York State for its Semiconductor Processing to Packaging Research, Education, and Training Center.

The University of Pennsylvania launched an online Master of Science in Engineering in AI degree.

The American University of Armenia celebrated its 10-year collaboration with Siemens, which provides AUA’s Engineering Research Center with annual research grants.


Product News

Renesas and SEGGER Embedded Studio launched integrated code generator support for its 32-bit RISC-V MCU. 

Rambus introduced a family of DDR5 server Power Management ICs (PMICs), including an extreme current device for high-performance applications.

Fig. 2: Rambus’ server PMIC on DDR5 RDIMM. Source: Rambus

Keysight added capabilities to Inspector, part of the company’s recently acquired device security research and test lab Riscure, that are designed to test the robustness of post-quantum cryptography (PQC) and help device and chip vendors identify and fix hardware vulnerabilities. Keysight also validated new conformance test cases for narrowband IoT non-terrestrial networks standards.

Ansys’ RedHawk-SC and Totem power integrity platforms were certified for TSMC‘s N2 nanosheet-based process technology, while its RaptorX solution for on-chip electromagnetic modeling was certified for TSMC’s N5 process.

Netherlands-based athleisure brand PREMIUM INC selected CLEVR to implement Siemens’ Mendix Digital Lifecycle Management for Fashion & Retail solution.

Micron will begin shipping high-capacity DRAM for AI data centers.

Microchip uncorked radiation-tolerant SoC FPGAs for space applications that uses a real-time Linux-capable RISC-V-based microprocessor subsystem.


Quantum

University of Chicago researchers developed a system to boost the efficiency of quantum error correction using a framework based on quantum low-density party-check (qLDPC) codes and new hardware involving reconfigurable atom arrays.

PsiQuantum will receive AUD $940 million (~$620 million) in equity, grants, and loans from the Australian and Queensland governments to deploy a utility-scale quantum computer in the regime of 1 million physical qubits in Brisbane, Australia.

Japan-based RIKEN will co-locate IBM’s Quantum System Two with its Fugaku supercomputer for integrated quantum-classical workflows in a heterogeneous quantum-HPC hybrid computing environment. Fugaku is currently one of the world’s most powerful supercomputers.

QuEra Computing was awarded a ¥6.5 billion (~$41 million) contract by Japan’s National Institute of Advanced Industrial Science and Technology (AIST) to deliver a gate-based neutral-atom quantum computer alongside AIST’s ABCI-Q supercomputer as part of a quantum-classical computing platform.

Novo Holdings, the controlling stakeholder of pharmaceutical company Novo Nordisk, plans to boost the quantum technology startup ecosystem in Denmark with DKK 1.4 billion (~$201 million) in investments.

The University of Sydney received AUD $18.4 million (~$12 million) from the Australian government to help grow the quantum industry and ecosystem.

The European Commission plans to spend €112 million (~$120 million) to support AI and quantum research and innovation.


Research

Intel researchers developed a 300-millimeter cryogenic probing process to collect high-volume data on the performance of silicon spin qubit devices across whole wafers using CMOS manufacturing techniques.

EPFL researchers used a form of ML called deep reinforcement learning (DRL) to train a four-legged robot to avoid falls by switching between walking, trotting, and pronking.=

The University of Cambridge researchers developed tiny, flexible nerve cuff devices that can wrap around individual nerve fibers without damaging them, useful to treat a range of neurological disorders.

Argonne National Laboratory and Toyota are exploring a direct recycling approach that carefully extracts components from spent batteries. Argonne is also working with Talon Metals on a process that could increase the number of EV batteries produced from mined nickel ore.


Events

Find upcoming chip industry events here, including:

Event Date Location
IEEE International Symposium on Hardware Oriented Security and Trust (HOST) May 6 – 9 Washington DC
MRS Spring Meeting & Exhibit May 7 – 9 Virtual
ASMC: Advanced Semiconductor Manufacturing Conference May 13 – 16 Albany, NY
ISES Taiwan 2024: International Semiconductor Executive Summit May 14 – 15 New Taipei City
Ansys Simulation World 2024 May 14 – 16 Online
NI Connect Austin 2024 May 20 – 22 Austin, Texas
ITF World 2024 (imec) May 21 – 22 Antwerp, Belgium
Embedded Vision Summit May 21 – 23 Santa Clara, CA
ASIP Virtual Seminar 2024 May 22 Online
Electronic Components and Technology Conference (ECTC) 2024 May 28 – 31 Denver, Colorado
Hardwear.io Security Trainings and Conference USA 2024 May 28 – Jun 1 Santa Clara, CA
Find All Upcoming Events Here

Upcoming webinars are here.


Further Reading

Read the latest special reports and top stories, or check out the latest newsletters:

Systems and Design
Low Power-High Performance
Test, Measurement and Analytics
Manufacturing, Packaging and Materials
Automotive, Security and Pervasive Computing

The post Chip Industry Week In Review appeared first on Semiconductor Engineering.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇IEEE Spectrum
  • How Engineers at Digital Equipment Corp. Saved EthernetAlan Kirby
    I’ve enjoyed reading magazine articles about Ethernet’s 50th anniversary, including one in the The Institute. Invented by computer scientists Robert Metcalfe and David Boggs, Ethernet has been extraordinarily impactful. Metcalfe, an IEEE Fellow, received the 1996 IEEE Medal of Honor as well as the 2022 Turing Award from the Association for Computing Machinery for his work. But there is more to the story that is not widely known.During the 1980s and early 1990s, I led Digital Equipment Corp.’s ne
     

How Engineers at Digital Equipment Corp. Saved Ethernet

7. Duben 2024 v 20:00


I’ve enjoyed reading magazine articles about Ethernet’s 50th anniversary, including one in the The Institute. Invented by computer scientists Robert Metcalfe and David Boggs, Ethernet has been extraordinarily impactful. Metcalfe, an IEEE Fellow, received the 1996 IEEE Medal of Honor as well as the 2022 Turing Award from the Association for Computing Machinery for his work. But there is more to the story that is not widely known.

During the 1980s and early 1990s, I led Digital Equipment Corp.’s networking advanced development group in Massachusetts. I was a firsthand witness in what was a period of great opportunity for LAN technologies and intense competition between standardization efforts.

DEC, Intel, and Xerox poised themselves to profit from Ethernet’s launch in the 1970s. But during the 1980s other LAN technologies emerged as competitors. Prime contenders included the token ring, promoted by IBM, and the token bus. (Today Ethernet and both token-based technologies are part of the IEEE 802 family of standards.)

All those LANs have some basic parts in common. One is the 48-bit media access control (MAC) address, a unique number assigned during a computer’s network port manufacturing process. The MAC addresses are used inside the LAN only, but they are critical to its operation. And usually, along with the general-purpose computers on the network, they have at least one special-purpose computer: a router, whose main job is to send data to—and receive it from—the Internet on behalf of all the other computers on the LAN.

In a decades-old conceptual model of networking, the LAN itself (the wires and low-level hardware) is referred to as Layer 2, or the data link layer. Routers mostly deal with another kind of address: a network address that is used both within the LAN and outside it. Many readers likely have heard the terms Internet Protocol and IP address. With some exceptions, the IP address (a network address) in a data packet is sufficient to ensure that packet can be delivered anywhere on the Internet by a sequence of other routers operated by service providers and carriers. Routers and the operations they perform are referred to as Layer 3, or the network layer.

In a token ring LAN, shielded twisted-pair copper wires connect each computer to its upstream and downstream neighbors in an endless ring structure. Each computer forwards data from its upstream neighbor to its downstream one but can send its own data to the network only after it receives a short data packet—a token—from the upstream neighbor. If it has no data to transmit, it just passes the token to its downstream neighbor, and so on.

In a token bus LAN, a coaxial cable connects all the network’s computers, but the wiring doesn’t control the order in which the computers pass the token. The computers agree on the sequence in which they pass the token, forming an endless virtual ring around which data and tokens circulate.

Ethernet, meanwhile, had become synonymous with coaxial cable connections that used a method called carrier sense multiple access with collision detection for managing transmissions. In the CSMA/CD method, computers that want to transmit a data packet first listen to see if another computer is transmitting. If not, the computer sends its packet while listening to determine whether that packet collides with one from another computer. Collisions can happen because signal propagation between computers is not instantaneous. In the case of a collision, the sending computer resends its packet with a delay that has both a random component and an exponentially increasing component that depends on the number of collisions.

The need to detect collisions involves tradeoffs among data rate, physical length, and minimum packet size. Increasing the data rate by an order of magnitude means either reducing the physical length or increasing the minimum packet size by roughly the same factor. The designers of Ethernet had wisely chosen a sweet spot among the tradeoffs: 10 megabits per second and a length of 1,500 meters.

A threat from fiber

Meanwhile, a coalition of companies—including my employer, DEC—was developing a new ANSI LAN standard: the Fiber Distributed Data Interface. The FDDI approach used a variation of the token bus protocol to transmit data over optical fiber, promising speeds of 100 Mb/s, far faster than Ethernet’s 10 Mb/s.

A barrage of technical publications released analyses of the throughputs and latencies of competing LAN technologies under various workloads. Given the results and the much greater network performance demands expected from speedier processors, RAM, and nonvolatile storage, Ethernet’s limited performance was a serious problem.

FDDI seemed a better bet for creating higher speed LANs than Ethernet, although FDDI used expensive components and complex technology, especially for fault recovery. But all shared media access protocols had one or more unattractive features or performance limitations, thanks to the complexity involved in sharing a wire or optical fiber.

A solution emerges

I thought that a better approach than either FDDI or a faster version of Ethernet would be to develop a LAN technology that performed store-and-forward switching.

One evening in 1983, just before leaving work to go home, I visited the office of Mark Kempf, a principal engineer and a member of my team. Mark, one of the best engineers I have ever worked with, had designed the popular and profitable DECServer 100 terminal server, which used the local-area transport (LAT) protocol created by Bruce Mann from DEC’s corporate architecture group. Terminal servers connect groups of dumb terminals, with only RS-232 serial ports, to computer systems with Ethernet ports.

I told Mark about my idea of using store-and-forward switching to increase LAN performance.

The next morning he came in with an idea for a learning bridge (also known as a Layer 2 switch or simply a switch). The bridge would connect to two Ethernet LANs. By listening to all traffic on each LAN, the device would learn the MAC addresses of the computers on both Ethernets (remembering which computer was on which Ethernet) and then selectively forward the appropriate packets between the LANs based upon the destination MAC address. The computers on the two networks didn’t need to know which path their data would take on the extended LAN; to them, the bridge was invisible.

The bridge would need to receive and process some 30,000 packets per second (15,000 pp/s per Ethernet) and decide whether to forward each one. Although the 30,000 pp/s requirement was near the limit of what could be done using the best microprocessor technology of the time, the Motorola 68000, Mark was confident he could build a two-Ethernet bridge using only off-the-shelf components including a specialized hardware engine he would design using programmable array logic (PAL) devices and dedicated static RAM to look up the 48-bit MAC addresses.

Mark’s contributions have not been widely recognized. One exception is the textbook Network Algorithmics by George Varghese.

In a misconfigured network—one with bridges connecting Ethernets in a loop—packets could circulate forever. We felt confident that we could figure out a way to prevent that. In a pinch, a product could ship without the safety feature. And clearly a two-port device was only the starting point. Multiple-port devices could follow, though they would require custom components.

I took our idea to three levels of management, looking for approval to build a prototype of the learning bridge that Mark envisioned. Before the end of the day, we had a green light with the understanding that a product would follow if the prototype was successful.

Developing the bridge

My immediate manager at DEC, Tony Lauck, challenged several engineers and architects to solve the problem of packet looping in misconfigured networks. Within a few days, we had several potential solutions. Radia Perlman, an architect in Tony’s group, provided the clear winner: the spanning tree protocol.

In Perlman’s approach, the bridges detect each other, select a root bridge according to specified criteria, and then compute a minimum spanning tree. An MST is a mathematical structure that, in this case, describes how to efficiently connect LANs and bridges without loops. The MST was then used to place any bridge whose presence would create a loop into backup mode. As a side benefit, it provided automated recovery in the case of a bridge failure.

a big green box with little boxes within with gold dots and different colored wires The logic module of a disassembled LANBridge 100, which was released by Digital Equipment Corp. in 1986. Alan Kirby

Mark designed the hardware and timing-sensitive low-level code, while software engineer Bob Shelly wrote the remaining programs. And in 1986, DEC introduced the technology as the LANBridge 100, product code DEBET-AA.

Soon after, DEC developed DEBET-RC, a version that supported a 3-kilometer optical fiber span between bridges. Manuals for some of the DEBET-RCs can be found on the Bitsavers website.

Mark’s idea didn’t replace Ethernet—and that was its brilliance. By allowing store-and-forward switching between existing CSMA/CD coax-based Ethernets, bridges allowed easy upgrades of existing LANs. Since any collision would not propagate beyond the bridge, connecting two Ethernets with a bridge would immediately double the length limit of a single Ethernet cable alone. More importantly, placing computers that communicated heavily with each other on the same Ethernet cable would isolate that traffic to that cable, while the bridge would still allow communication with computers on other Ethernet cables.

That reduced the traffic on both cables, increasing capacity while reducing the frequency of collisions. Taken to its limit, it eventually meant giving each computer its own Ethernet cable, with a multiport bridge connecting them all.

That is what led to a gradual migration away from CSMA/CD over coax to the now ubiquitous copper and fiber links between individual computers and a dedicated switch port.

The speed of the links is no longer limited by the constraints of collision detection. Over time, the change completely transformed how people think of Ethernet.

A bridge could even have ports for different LAN types if the associated packet headers were sufficiently similar.

Our team later developed GIGAswitch, a multiport device supporting both Ethernet and FDDI.

The existence of bridges with increasingly higher performance took the wind out of the sails of those developing new shared media LAN access protocols. FDDI later faded from the marketplace in the face of faster Ethernet versions.

Bridge technology was not without controversy, of course. Some engineers continue to believe that Layer 2 switching is a bad idea and that all you need are faster Layer 3 routers to transfer packets between LANs. At the time, however, IP had not won at the network level, and DECNet, IBM’s SNA, and other network protocols were fighting for dominance. Switching at Layer 2 would work with any network protocol.

Mark received a U.S. patent for the device in 1986. DEC offered to license it on a no-cost basis, allowing any company to use the technology.

That led to an IEEE standardization effort. Established networking companies and startups adopted and began working to improve the switching technology. Other enhancements—including switch-specific ASICs, virtual LANs, and the development of faster and less expensive physical media and associated electronics—steadily contributed to Ethernet’s longevity and popularity.

The lasting value of Ethernet lies not in CSMA/CD or its original coaxial media but in the easily understood and functional service that it provided for protocol designers.

The switches in many home networks today are directly descended from the innovation. And modern data centers have numerous switches with individual ports running between 40 and 800 gigabits per second. The data center switch market alone accounts for more than US $10 billion in annual revenue.

Lauck, my DEC manager, once said that the value of an architecture can be measured by the number of technology generations over which it is useful. By that measure, Ethernet has been enormously successful. The same can be said of Layer 2 switching.

No one knows what would have happened to Ethernet had Mark not invented the learning bridge. Perhaps someone else would have come up with the idea. But it’s also possible that Ethernet would have slowly withered away.

To me, Mark saved Ethernet.

  • ✇Eurogamer.net
  • Deus Ex: Mankind Divided one of two Epic Games Store freebies next weekMatt Wales
    Epic has revealed the next titles it's giving away on the Epic Games Store, with Deux Ex: Mankind Divided and The Bridge getting the freebie treatment from Thursday, 14th March. Deux Ex: Mankind Divided is the fifth instalment in Square Enix's stealthy, shooty sci-fi series and a direct sequel to 2012's Deus Ex: Human Revolution. Once again cyber-augmented protagonist Adam Jensen returns, and the ensuing adventure was deemed to be a "smart, handsome and weighty addition to the stealth-action
     

Deus Ex: Mankind Divided one of two Epic Games Store freebies next week

7. Březen 2024 v 18:59

Epic has revealed the next titles it's giving away on the Epic Games Store, with Deux Ex: Mankind Divided and The Bridge getting the freebie treatment from Thursday, 14th March.

Deux Ex: Mankind Divided is the fifth instalment in Square Enix's stealthy, shooty sci-fi series and a direct sequel to 2012's Deus Ex: Human Revolution. Once again cyber-augmented protagonist Adam Jensen returns, and the ensuing adventure was deemed to be a "smart, handsome and weighty addition to the stealth-action genre" in Eurogamer's review.

As for The Bridge, it's a gravity shifting puzzle game created by Ty Taylor - and described as "Isaac Newton meets M. C. Escher" - that challenges players to manoeuvre the world and reach the exit each stage. Eurogamer quite liked this one on release in 2013, even if it sometimes felt like "the taster course for a more satisfying meal kept frustratingly off the menu."

Read more

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
  • ✇IGN India Pc
  • Astro Duel 2 Is Now Free on Epic Games StoreMoulik Mathur
    A cyberpunk action RPG title alongside a logic puzzle game. Epic Games Store has revealed the two titles it will offer for free next week. Deus Ex: Mankind Divided, a cyberpunk action RPG, will be free to claim for gamers from March 14 to March 21. The Bridge, a logic puzzle game, is the other game which will be available …
     

Astro Duel 2 Is Now Free on Epic Games Store

8. Březen 2024 v 08:36
A cyberpunk action RPG title alongside a logic puzzle game.

Epic Games Store has revealed the two titles it will offer for free next week. Deus Ex: Mankind Divided, a cyberpunk action RPG, will be free to claim for gamers from March 14 to March 21. The Bridge, a logic puzzle game, is the other game which will be available …

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.

Middle-school students meet a beam of electrons, and excitement results

Want to get middle-school kids excited about science? Let them do their own experiments on MIT.nano’s state-of-the-art microscopes — with guidelines and adult supervision, of course. That was the brainchild of Carl Thrasher and Tao Cai, MIT graduate students who spearheaded the Electron Microscopy Elevating Representation and Growth in Education (EMERGE) program.

Held in November, EMERGE invited 18 eighth-grade students to the pilot event at MIT.nano, an interdisciplinary facility for nanoscale research, to get hands-on experience in microscopy and materials science.

The highlight of the two-hour workshop: Each student explored mystery samples of everyday materials using one of two scanning electron microscopes (SEMs), which scan material samples using a beam of electrons to form an image. Though highly sophisticated, the instruments generated readily understandable data — images of intricate structures in a butterfly wing or a strand of hair, for example.

The students had an immediate, tangible sense of success, says Thrasher, from MIT’s Department of Materials Science and Engineering (DMSE). He led the program along with Cai, also from DMSE, and Collette Gordon, a grad student in the Department of Chemistry.

“This experience helped build a sense of agency and autonomy around this area of science, nurturing budding self-confidence among the students,” Thrasher says. “We didn’t give the students instructions, just empowered them to solve problems. When you don’t tell them the solution, you get really surprised with what they come up with.”

Unlocking interest in the infinitesimal

The students were part of a multi-year science and engineering exploration program called MITES Saturdays, run by MIT Introduction to Technology, Engineering, and Science, or MITES. A team of volunteers was on hand to help students follow the guidance set out by Thrasher, ensuring the careful handling of the SEMs — worth roughly $500,000 each.

MITES Saturdays program administrator Lynsey Ford was thrilled to observe the students’ autonomous exploration and enthusiasm.

“Our students got to meet real scientists who listened to them, cared about the questions they were asking, and welcomed them into a world of science,” Ford says. “A supportive learning environment can be just as powerful for science discovery as a half-million-dollar microscope.”

The pilot workshop was the first step for Thrasher and his team in their goal to build EMERGE into a program with broad impact, engaging middle-to-high school students from a variety of communities.

The partnership with MITES Saturdays is crucial for this endeavor, says Thrasher, providing a platform to reach a wider audience. “Seeing students from diverse backgrounds participating in EMERGE reinforces the profound difference science education can have.”

MITES Saturdays students are high-achieving Massachusetts seventh through 12th graders from Boston, MIT’s hometown of Cambridge, and nearby Lawrence.

“The majority of students who participate in our programs would be the first person in their family to go to college. A lot of them are from families balancing some sort of financial hardship, and from populations that are historically underrepresented in STEM,” Ford says.

Experienced SEM users set up the instruments and prepared test samples so students could take turns exploring specimens such as burrs, butterfly wings, computer chips, hair, and pollen by operating the microscope to adjust magnification, focus, and stage location.

Students left the EMERGE event with copies of the electron microscope images they generated. Thrasher hopes they will use these materials in follow-up projects, ideally integrating them into existing school curricula so students can share their experiences.

EMERGE co-director Cai says students were excited with their experimentation, both in being able to access such high-end equipment and in seeing what materials like Velcro look like under an SEM (spoiler alert: it’s spaghetti).

“We definitely saw a spark,” Cai says. “The subject matter was complex, but the students always wanted to know more.” And the after-program feedback was positive, with most saying the experience was fun and challenging. The volunteers noted how engaged the students were with the SEMs and subject matter. One volunteer overheard students say, “I felt like a real scientist!”

Inspiring tomorrow’s scientists

EMERGE is based on the Scanning Electron Microscopy Educators program, a long-running STEM outreach program started in 1991 by the Air Force Research Laboratory and adopted by Michigan State University. As an Air Force captain stationed at Wright-Patterson Air Force Base in Ohio, Thrasher participated in the program as a volunteer SEM expert.

“I thought it was an incredible opportunity for young students and wanted to bring it here to MIT,” he says.

The pilot was made possible thanks to support from the MITES Saturdays team and the Graduate Materials Council (GMC), the DMSE graduate student organization. Cai and DMSE grad student Jessica Dong, who are both GMC outreach chairs, helped fund, organize, and coordinate the event.

The MITES Saturdays students included reflections on their experience with the SEMs in their final presentations at the MITES Fall Symposium in November.

“My favorite part of the semester was using the SEM as it introduced me to microscopy at the level of electrons,” said one student.

“Our students had an incredible time with the EMERGE team. We’re excited about the possibility of future partnerships with MIT.nano and other departments at MIT, giving our scholars exposure to the breadth of opportunities as future scientists,” says Eboney Hearn, MITES executive director.

With the success of the pilot, the EMERGE team is looking to offer more programs to the MITES students in the spring. Anna Osherov is excited to give students more access to the cumulative staff knowledge and cutting-edge equipment at MIT.nano, which opened in 2018. Osherov is associate director for Characterization.nano, a shared experimental facility for advanced imaging and analysis.

“Our mission is to support mature researchers — and to help inspire the future PhDs and professors who will come to MIT to learn, research, and innovate,” Osherov says. “Designing and offering such programs, aimed at fostering natural curiosity and creativity of young minds, has a tremendous long-term benefit to our society. We can raise tomorrow’s generation in a better way.”

For her part, Ford is still coasting on the students’ excitement. “They come into the program so curious and hungry for knowledge. They remind me every day how amazing the world is.”

© Photo courtesy of the EMERGE program.

Middle schoolers in the MITES Saturdays science program at MIT examine images of material samples through a scanning electron microscope at MIT.nano, with the help of Rebecca Li (third from left), a postdoc in the Department of Materials Science and Engineering. The students participated in a pilot education program called EMERGE aimed at engaging students in hands-on scientific exploration.
❌
❌